来一个Verilog代码:异步fifo 及其测试的tb文件

Verilog代码如下:

module async_fifo (
    input clk,
    input rst,
    input wr_en,
    input rd_en,
    input [7:0] wr_data,
    output reg [7:0] rd_data,
    output full,
    output empty
);
    parameter depth = 8;
    reg [7:0] mem [0:depth-1];
    reg [3:0] wr_ptr, rd_ptr;

    always @ (posedge clk) begin
        if (rst) begin
            wr_ptr <= 0;
            rd_ptr <= 0;
        end else begin
            if (wr_en &amp; ~full) begin
                mem[wr_ptr] <= wr_data;
                wr_ptr <= wr_ptr + 1;
            end
            if (rd_en &amp; ~empty) begin
                rd_data <= mem[rd_ptr];
                rd_ptr <= rd_ptr + 1;
            end
        end
    end

    assign full = (wr_ptr == rd_ptr + depth);
    assign empty = (wr_ptr == rd_ptr);

endmodule
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值