自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(83)
  • 收藏
  • 关注

原创 计算机体系结构

胡伟武老师的《计算机体系结构》整理的课程笔记:https://blog.csdn.net/weixin_44849403/category_9672761.html

2020-05-21 19:19:06 577

原创 Tools --vscode配置verilog环境:语法检测,自动补全,生成testbench

vscode配置verilog环境:语法检测,自动补全,生成testbenchhttps://blog.csdn.net/qq_39498701/article/details/84668833

2019-12-02 16:19:13 12374 2

原创 Tools --configure 脚本和 GNU build system,Autotools

configure 脚本和 GNU build system,Autotoolshttps://www.jianshu.com/p/81916fba741c

2019-12-02 11:41:39 226

原创 Tools -- riscv各种版本gcc工具链编译与安装

节约时间,给予他人和自己方便这里放出传送门:https://blog.csdn.net/weiqi7777/article/details/88045720

2019-11-29 18:41:50 651

原创 Tools --GCC全过程详解+剖析生成的.o文件

1.使用GCC编译一个.c文件影藏了哪些过程?2.生成的目标文件有什么,什么是目标文件?3.Linux下有哪些ELF类型的文件?4.可执行文件的概貌详解5.深入挖掘 .o文件https://blog.csdn.net/gt1025814447/article/details/80442673...

2019-11-29 16:46:31 402

原创 Tools ---交叉编译详解和riscv各种版本gcc工具链编译与安装

资料传送门内容:要介绍了什么是交叉编译,为何要有交叉编译;解释了什么是工具链,什么是交叉工具链;详解解释了交叉编译器的名字的命名规则,以及如何获得交叉编译器,制作交叉编译器的各种工具,使用已有的交叉编译器和自己手动编译交叉编译器之间的对比;最后总结了交叉编译方面的心得和注意事项。网址:http://www.crifan.com/files/doc/docbook/cross_compile...

2019-11-28 16:32:12 637

原创 EMCCD自适应增益控制与均匀性校正芯片

最近做的横向项目(算法设计—RTL设计—FPGA原型验证—IC后端—流片),摘录出来部分设计文档分享记录。1.功能概述芯片对EMCCD输出图像的不均匀性进行校正以及实现EMCCD增益的自适应控制,最后对校正完的图像进行拼接,拼接口的图像大小为4096*4096,拼接后数据以单路形式输出。主要模块包括:非均匀性校正模块、自适应增益控制模块和接缝平滑模块。芯片结构如图1.1所示:版本1:版本...

2019-10-31 16:55:08 342

原创 vivado ---HLS教程以及Guide doc

vivado-HLS入门https://blog.csdn.net/weixin_39290638/article/details/80228806vivado-HLS Guide dochttps://china.xilinx.com/support/documentation-navigation/design-hubs/dh0012-vivado-high-level-synthesi...

2019-10-25 11:18:27 530

原创 Tools ---Design Compiler 总结

使用教程:https://www.jianshu.com/p/858079948adb

2019-09-27 18:18:11 208

原创 Hardware ---数字设计中常见的 CDC 问题

在目前数字 SOC 工程设计项目中,所存在的 CDC 问题主要有以下几种:1 CDC 中的亚稳态传播问题数字 SOC 中触发器亚稳态值的产生和传输模型如图 ,当时钟信号Clk_B 的第二个上升沿到来的时刻,输入数据信号 A 刚好处于变化当中,导致其不满足触发器 F2 的建立-保持时间要求,在输出节点 B 处产生亚稳态值。对于 B节点产生的亚稳态中间值,后续的三个扇出分支 X1、 X2、 X3 ...

2019-09-26 14:12:30 1011

原创 Hareware --- Xilinx Vvado MIG DDR控制器使用

使用例程+User Guide:链接:https://pan.baidu.com/s/1BrN450BbYoFK1_lEMT1Uwg提取码:9isn 复制这段内容后打开百度网盘手机App,操作更方便哦博客教程:https://www.cnblogs.com/chengqi521/p/7941937.htmlhttps://blog.csdn.net/zxbdlv/article/de...

2019-09-22 11:34:05 295

原创 Tools ---UML各种图及其类图

UML各种图总结:https://www.cnblogs.com/jiangds/p/6596595.htmlUML类图:https://mp.csdn.net/mdeditor#

2019-09-11 10:29:31 193 1

原创 Tools --VSCode搭建Java开发运行环境

https://www.jianshu.com/p/ba9af667c967http://www.mamicode.com/info-detail-2423185.html

2019-09-07 17:47:00 412

原创 Tools --- Linux 更改用户环境变量和所有用户环境变量

Linux环境变量设置文件/etc/profile 全局用户,应用于所有的Shell。/$HOME/.profile 当前用户,应用于所有的Shell。/etc/bash_bashrc 全局用户,应用于Bash Shell。~/.bashrc 局部当前,应用于Bash Sell。查找软件安装目录whereis mongodb查看PATH#echo $PATH 显示PATH设置。#...

2019-08-27 19:25:36 189

原创 Tools ---FFmpeg的安装和使用

Linux下安装FFmpeg第一步:下载ffmpeg:http://ffmpeg.org/releases/ffmpeg-3.4.1.tar.bz2(自己可以选择版本)第二步:解压FFMPEG: tar -jxvf ffmpeg-3.4.1.tar.bz2第三步:cd ffmpeg-3.4.1第四步:./configure --enabled-shared --prefix=/usr/...

2019-08-27 17:10:42 636

转载 Hardware --FPGA之时序约束

https://blog.csdn.net/chenxumeng424/article/details/80452455

2019-08-27 11:09:49 177

原创 Hardware --Vivado试用技巧

参考文章https://m.ydaobo.com/wenzhang/2540.html

2019-07-16 19:43:55 755

原创 Hardware ---Altera FPGA开发过程中sof、pof、jic文件的区别

Altera FPGA开发过程中sof、pof、jic文件的区别https://blog.csdn.net/tq384998430/article/details/84951569

2019-07-15 14:39:36 905

原创 Hardware ---Tcl 与 pt_shell 的使用

Tcl 是 Tool Command Language 的缩写,由于 PrimeTime 的命令语言是基于Tcl 标准的,所以在这大致介绍一下 Tcl 在 PrimeTime 中的基本使用。除了一些最常用的 Tcl 命令之外,主要介绍了 pt_shell 中有关对象和属性的操作。Tcl 中的变量与变量有关的有下列操作:1)定义变量:set 变量名 变量值例如: set clock_per...

2019-07-13 20:57:18 4627 1

转载 Hardware ---Vivado使用技巧

Vivado使用技巧(1):使用Tcl在Shell中进行FPGA开发:https://blog.csdn.net/fpgadesigner/article/details/75304641Vivado使用技巧(2):封装自己设计的IP核:https://blog.csdn.net/fpgadesigner/article/details/75309278Vivado使用技巧(3):Forc...

2019-07-10 15:22:26 1059

原创 Hardware ---Testbench编写指南

Testbench编写指南(1)基本组成与示例:https://blog.csdn.net/FPGADesigner/article/details/82021647Testbench编写指南(2)文件的读写操作:https://blog.csdn.net/fpgadesigner/article/details/80470972Testbench编写指南(3)模块化工程的仿真方法:ht...

2019-07-10 15:13:48 366

原创 Hardware ---vivado TCL使用

一. 准备1.1 在开始菜单的Xilinx工具集中找到“Vivado xxxx.x Tcl Shell”,xxxx.x代表安装的Vivado版本号。在Shell中先将当前环境指向设计源文件的目录(注意路径中斜线方向是左斜杠):Vivado% cd C:/Users/GodWa/Desktop/SDK_Test/gate1.2 指定一个设计文件的输出路径,所有生成的报告、结果文件等...

2019-07-10 10:49:28 1528

原创 Hardware ---常用的接口和通信协议SPI

SPISPI(Serial Peripheral Interface,串行外围设备接口),是Motorola公司提出的一种同步串行接口技术,是一种高速、全双工、同步通信总线,在芯片中只占用四根管脚用来控制及数据传输,广泛用于EEPROM、Flash、RTC(实时时钟)、ADC(数模转换器)、DSP(数字信号处理器)以及数字信号解码器上。SPI通信的速度很容易达到好几兆bps,所以可以用SPI总线...

2019-07-07 16:05:05 832

原创 Hardware ---常用的接口和通信协议 I2C

I2C协议2条双向串行线,一条数据线SDA,一条时钟线SCL,半双工通信方式。SDA传输数据是大端传输,每次传输8bit,即一字节。I2C可以支持0kHz~5MHz的设备:普通模式(100kHz即100kbps)、快速模式(400kHz)、快速模式+(1MHz)、高速模式(3.4MHz)和超高速模式(5MHz)支持多主控(multimastering),任何时间点只能有一个主控。1)...

2019-07-07 15:47:36 422

原创 Hardware ---xilinx vivado的Combinatorial Loop Alert

问题描述[DRC LUTLP-1] Combinatorial Loop Alert: 1 LUT cells form a combinatorial loop. This can create a race condition. Timing analysis may not be accurate. The preferred resolution is to modify the des...

2019-07-03 17:06:10 1996

原创 Hardware ---常用的接口和通信协议 uart串口发送和接收

代码说明可以根据实际应用设置不同波特率。串口接收为了防止干扰,对于每一位信号进行6次采样,取出次数最多的电平作为最终结果,因此采样时钟是波特率的16倍串口发送代码module uart_byte_tx( Clk, //50M时钟输入 Rst_n, //模块复位 data_byte, //待传输8bit数据 send_en, //发送使能 baud_se...

2019-07-01 20:22:00 653

原创 Hardware ---vivado ROM,RAM IP核的使用

参考网址:https://blog.csdn.net/zengaliang/article/details/78765159.mif文件和.coe初始化文件介绍:https://blog.csdn.net/wordwarwordwar/article/details/52827248https://zhidao.baidu.com/question/2208373539572563988....

2019-07-01 15:26:35 1726

原创 Hardware ---VGA显示(verilog)

1. VGA接口与时序VGA接口行时序场时序2. VGA原理分析显示器扫描方式分为逐行扫描和隔行扫描:逐行扫描是扫描从屏幕左上角一点开始,从左像右逐点扫描,每扫描完一行,电子束回到屏幕的左边下一行的起始位置,在这期间,CRT对电子束进行消隐,每行结束时,用行同步信号进行同步;当扫描完所有的行,形成一帧,用场同步信号进行场同步,并使扫描回到屏幕左上方,同时进行场消隐,开始...

2019-06-23 16:14:19 1228

原创 Hardware ---SDRAM控制器

使用说明SDRAM初始化代码说明:sdr.v : SDRAM模型sdram_init.v 初始化SDRAM控制器sdram_init_tb.v 初始化测试文件仿真时令:iverilog -o wave_sdram_init sdr.v sdram_init.v sdram_init_tb.vvvp wave_sdram_initgtkwave sdram_init_...

2019-06-22 17:24:09 382

原创 Tools ---Icarus Verilog和GTKwave使用简析

1. 下载安装windowsIcarus Verilog中已经包含了GTKWave,直接从http://bleyer.org/icarus/下载安装,这里提供的为Windows版,我下载的为当前时间最新版:iverilog-10.1.1-x64_setup.exe,整个安装包才9.77MB,安装后也只有不到50MB。经测试,其实安装后只要把安装所在目录打包复制到其它电脑也可以用,即下载的这个安...

2019-06-18 15:50:30 1534

原创 Tools ---Gvim配置,使用,插件管理

Gvim使用教程https://blog.csdn.net/briup_acmer/article/details/38128707Gvim插件管理Vundlehttps://www.cnblogs.com/iiaijimaai/p/5538988.html相关命令安装插件:BundleInstall更新插件:BundleUpdate清除不再使用的插件:BundleClean列出...

2019-06-18 13:54:39 449

原创 Hardware ----数据流控制技巧-乒乓操作

乒乓操作是一个主要用于数据流控制的处理技巧,典型的乒乓操作如下图所示。外部输入数据流通过“输入数据选择控制”模块送入两个数据缓冲区中,数据缓冲模块可以为任何存储模块,比较常用的存储单元为双口RAM(Dual RAM)、SRAM、SDRAM、FIFO等。在第1个数据缓冲周期,将输入的数据流缓存到“数据缓冲1”模块。在第2个缓冲周期,“输入数据选择控制”模块将输入的数据流缓存到“数据缓冲...

2019-06-15 21:07:32 845

原创 Hardware ---时钟设计技巧

基本原则尽可能避免使用内部生成的时钟(PLL除外),因为它们可能导致设计中的功能和时序问题。 使用组合逻辑生成的时钟会引入产生功能问题的毛刺,并且组合逻辑中固有的延迟可能导致时序问题。内部逻辑产生的时钟将组合的逻辑的输出寄存器一下:如果将组合逻辑的输出用作时钟信号或异步复位信号,则可能会在设计中看到毛刺。 在同步设计中,寄存器的数据输入上的毛刺是没有后果的正常事件, 但是,寄存器的时...

2019-06-15 20:15:29 266

原创 Hardware ---PLL 配置后的复位设计

IC设计中大都会涉及到多个时钟,使用器件内部的PLL或者DLL会使得多个时钟的管理变得更加容易。但是当多个时钟都是用PLL/DLL产生时,他们的系统复位信号如何设计才更加稳定呢?如下图,就是所用的RTL电路图。先用FPGA的外部输入时钟clk将FPGA的输入复位信号rst_n做异步复位、同步释放处理,然后这个复位信号输入PLL,同时将clk也输入PLL。设计的初衷是在PLL输出有效时钟之前,系...

2019-06-15 19:25:22 383

原创 Hardware ----异步复位、同步释放

异步复位一个简单的异步复位的例子 always @ (posedge clk or negedge rst_n) if(!rst_n) b <= 1'b0; else b <= a;我们可以看到寄存器有一个异步的清零端(CLR),在异步复位的设计中这个端口一般就是接低电平有效的复位信号rst_n。即使说你的设计中是高电平复位,那么实际...

2019-06-15 18:47:04 198

原创 HM --帧内预测代码

HEVC帧内预测的大致流程是这样的遍历所有的预测模式,得到每种模式下的残差信号,再对残差信号进行Hadamard变换计算SATD值利用SATD值计算每种预测模式的率失真代价,选取率失真代价最小的几种模式(与PU大小相关)为预测模式集将已编码相邻块的预测模式补充到预测模式集中遍历模式集合中的所有模式,并对残差信号进行正常编码(熵编码),计算率失真代价选取最优的预测模式作为该PU的最优模式...

2019-06-02 19:58:29 1507

原创 Hardware ----同步电路和异步电路时序设计及流水线思想

最近工作涉及到同步电路的时序设计,这里追根溯源的总结下同步电路和异步电路时序设计及流水线思想1:什么是同步逻辑和异步逻辑?同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系。同步时序逻辑电路的特点:各触发器的时钟端全部连接在一起,并接在系统时钟端,只有当时钟脉冲到来时,电路的状态才能改变。改变后的状态将一直保持到下一个时钟脉冲的到来,此时无论外部输入x有无变化,状态...

2019-05-30 10:44:04 2233

原创 HEVC ---HEVC/h.265的熵编码

最近在做RDOQ算法优化和硬件系统结构设计,建立其时序模型,估算算法的硬件复杂度。在做这部分工作的同时,博主学习了HEVC算法所有的算法原理,为了便于形成完整的知识体系结构,将相关的知识点框架总结如下:一、HEVC中熵编码采用的技术零阶(或者k阶)哥伦布指数编码(变长编码,类似于哈夫曼编码那样),主要用于VPS、SPS、PPS、slice头部信息的编码CABAC(算数编码),主要用于数据和...

2019-05-18 14:29:20 1193

原创 HEVC --HEVC/h.265的量化

一、量化量化就是把信号的连续取值映射成多个离散的幅值的过程,实现了信号取值多对一的映射。残差数据进过变换之后,变换系数具有较大的取值范围,量化可以有效减小信号的取值范围,进而获得更好的压缩效果,量化是造成失真的根本原因量化是视频编码中产生失真的根本原因衡量失真的三种准则(分别有对应的公式):1、均方差MSE2、信噪比SNR3、峰值信噪比PSNR二、HEVC可以使用的传统量化方法...

2019-05-18 13:37:04 1225

原创 HEVC ---HEVC/h.265变换编码

一、变换编码绝对大部分图像都含有较多的平坦区域内容变化缓慢的区域,变换可以使图像能量在空间域的分散分布转为在变换域的相对集中分布,就可以达到去除空间冗余的目的HEVC使用了DCT和DST(只用于4x4的小块)两种变换,为了加快速度,还会使用Hadamard算法(HEVC标准中没有使用,但是自己的具体实现可以使用)。二维DCT可以分成两个一维的DCT变换。HEVC中的整数DCT1、整数DC...

2019-05-18 11:05:39 1369

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除