Verilator简介及其下载安装卸载

一. 简介

Verilator是一种Verilog/SystemVerilog仿真器,可用于编译代码以及代码在线检查。Verilato和rIverilog都号称是全球第四大HDL仿真器,两者都是开源免费工具,功能也类似。

更多信息可参考:

Verilator官网

Verilator-Github

Verilator-码云(此仓库是为了提升国内下载速度的Github镜像仓库,每日同步一次)

image-20210307180139410

二. 下载

Verilator官方下载网址:https://www.veripool.org/projects/verilator/wiki/Download

image-20210307215522470

三. 安装

3.1 安装Cygwin及相应Packages

官网安装指南中介绍了三种安装方法,而对于在Windows环境下的安装,我使用了基于Cygwin的安装方法。所以需要先安装Cygwin,参考我的另一篇博客:Cygwin简介及其下载安装卸载

configure阶段需要的Package共5个: bison, flex, gcc-core, gcc-g++, perl

image-20210307230123834

make和make install阶段需要的Package共2个: glade-python, make

image-20210307234924582

注意:如果在中途安装glade-python,则需要重启Cygwin64 Terminal,此包才能起作用,否则make会一直报错。

总结:为了正确安装Verilator,共需7个Package: bison, flex, gcc-core, gcc-g++, perl, glade-python, make。一次性装好,不要等在Cygwin64 Terminal中报错了再去装。

3.2 解压Verilator安装包

解压verilator-*.tgz。

3.3 在Cygwin64 Terminal中运行相关命令

分三个步骤,先configure读取配置信息,然后make编译,最后make install生成可执行文件。

3.3.1 configure

转到verilator解压后的文件夹路径,注意:1.改为自己的路径;2.路径分割符并不是Windows中的斜杠\,而是除号/

cd F:/ChromeDownload/verilator-4.110

读取配置信息,大概需要2~3分钟。

./configure

image-20210307234413200

image-20210307225844381

到此configure完成,上图已经提示使用make命令了。

3.3.2 make

编译,大概需要20分钟,耐心等待。

make

image-20210307234217299

image-20210308001151622

编译完成。

3.3.3 make install

生成Verilator可执行程序,大概需要2分钟。

make install

image-20210308001519929

image-20210308001438722

完成,这时在D:\cygwin64\usr\local\bin(将此路径加入环境变量PATH,方便调用)目录下已经有verilator*.exe程序了。

image-20210308001731925

四. 卸载

要卸载verilator的话,将D:\cygwin64\usr\local\bin文件下带verilator字样的文件删了就行。

  • 9
    点赞
  • 45
    收藏
    觉得还不错? 一键收藏
  • 17
    评论
根据引用\[1\]中提供的信息,你可以按照以下步骤下载和安装Verilog工具: 1. 首先,在插件中心安装SystemVerilog and Verilog Formatter插件。你可以在Visual Studio Marketplace中找到该插件并进行安装。 2. 下载verible,这是谷歌提供的一款Verilog工具。你可以在https://github.com/google/verible下载对应你操作系统的版本。下载完成后,解压文件并将二进制文件所在目录添加到系统路径中。 3. 打开插件的设置选项,并选择你的操作系统。这样就可以开始使用Verilog代码格式化工具了。在代码编辑页面中,按下alt+shift+F即可进行代码格式化。 另外,如果你希望自定义参数来实现对齐等功能,可以参考引用\[1\]中提供的链接,具体内容可以在该链接中找到。你可以根据自己的需求,使用自定义参数来实现对代码段的对齐。 希望以上信息对你有帮助! #### 引用[.reference_title] - *1* [Vscode环境下Verilog / System Verilog格式化工具推荐及安装配置方法](https://blog.csdn.net/qq_18294411/article/details/125723583)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insertT0,239^v3^insert_chatgpt"}} ] [.reference_item] - *2* [Verilog学习之路(1)— Quartus II 13.0下载安装和HelloWorld](https://blog.csdn.net/qq_38113006/article/details/121569176)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insertT0,239^v3^insert_chatgpt"}} ] [.reference_item] - *3* [Verilator简介及其下载安装卸载](https://blog.csdn.net/weixin_42837669/article/details/114505364)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insertT0,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 17
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值