Chisel速成——跟着这个Chisel教程来就行了(已完结)

本系列是基础的Chisel教程系列,基于官方的Chisel-Bootcamp,结合了很多Scala的知识,中间补充自己在探索过程中的思考,还会补充一些其他Chisel相关内容,这一系列的目标是基本掌握Chisel语言,为后续设计实现CPU打下坚实基础!

目前本系列已经完结,全部内容收录在专栏Chisel速成班教程,目录如下:

Chisel教程——00.ex.scala metals插件(VS Code)、sbt和coursier换国内源

Chisel教程——01.Scala介绍

Chisel教程——02.Chisel环境配置和第一个Chisel模块的实现与测试

Chisel教程——03.Chisel中的组合逻辑(结尾附上Chisel3 Cheat Sheet)

Chisel教程——04.Chisel中的控制流

Chisel教程——05.Chisel中的时序逻辑(包含显式多时钟、显式同步复位和显式异步复位)

Chisel教程——06.阶段性汇总:实现一个FIR滤波器(Chisel实现4-bit的FIR滤波器和参数化FIR滤波器)

Chisel教程——07.详解ChiselTest

Chisel教程——08.Chisel参数化生成器(从Scala讲起)

Chisel教程——09.Scala和Chisel中的Collections(用集合类实现RISC-V的寄存器文件)

Chisel教程——10.Chisel标准库中的中间件整理(接口和函数)

Chisel教程——11.用Scala的高阶函数让Chisel代码更优雅

Chisel教程——12.Scala中的函数式编程(用Chisel实现可配置激活函数的神经网络神经元)

Chisel教程——13.Scala的面向对象编程特性和Chisel中的Module

Chisel教程——14.(完结篇)Scala和Chisel中的数据类型

  • 14
    点赞
  • 67
    收藏
    觉得还不错? 一键收藏
  • 3
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值