【Verilog】基础知识,详解,小白适用

本文介绍了Verilog中的基本数据类型,包括nets中的wire(相当于导线,不存储数据)、reg(作为寄存器,通常在always块中使用)、integer以及memory(用于建模存储器)。特别强调了wire类型的特性,即不能随意赋值,而reg类型不应使用assign进行赋值。此外,还提到了$signed()函数用于将数据转换为带符号类型,并推荐使用MindMaster进行思维导图的绘制。
摘要由CSDN通过智能技术生成

一、数据类型
一共19种,本文只讲述常用的:wire,reg,integer,memory
在这里插入图片描述

在这里插入图片描述

在这里插入图片描述

1】nets
输出值时钟随输入的变化而变化

2】wire
可视作导线,本身不存储数据,有输入才有输出(这里输入的专业名词叫驱动器),输出随着输入的改变而即时改变
模块中输入输出信号,默认为wire型(就是不说明是什么,就叫默认)
注意:不能随便赋值

3】reg
可视作寄存器
通常在always内使用
注意:不可以使用assign来赋值

4】 memory
对存储器建模: 用reg型变量建立数组

reg[7:0] mem[
  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值