modelsimSE-64 10.6安装及与vivado联合教程

modelsim se-64 10.6d安装

在这里插入图片描述
1.下载后双击“modelsim-win64-10.6d-se.exe” ,进入安装导向界面,下一步:
在这里插入图片描述
2.选择安装位置,选好点下一步
在这里插入图片描述
3.提示是否创建安装目录,点击是
在这里插入图片描述
4.是否接受modelsim se协议,点击同意
在这里插入图片描述
5.开始安装Modelsim SE 10.6d
在这里插入图片描述
6.完成安装,是否创建桌面快捷方式,点击是
在这里插入图片描述
7.是否添加环境变量,点击否,破解过程再添加
在这里插入图片描述
8.是否安装硬件驱动,按需求选择,可以点击否,与VIVADO联合不受影响
在这里插入图片描述
9.安装完成。

破解modelsim-se-10.6d

1.安装完成后,将"MentorKG.exe"和"patch_dll.bat"、“mgls.dll文件”复制到安装路径“…\modeltech64_10.4\win64”下面;
在这里插入图片描述
2.去掉安装路径"…:\modeltech64_10.4\win64"下"mgls.dll"和"mgls64.dll"的只读属性;
在这里插入图片描述
3.以管理员的方式运行安装路径"…\modeltech64_10.4\win64\patch_dll.bat",将产生的文件另存为:…\modeltech64_10.4\LICENSE.TXT";
在这里插入图片描述
4.新建环境变量MGLS_LICENSE_FILE指向安装路径"…\modeltech64_10.4\LICENSE.TXT";
在这里插入图片描述
5.去掉文件安装路径"…\modeltech64_10.4\modelsim.ini"的只读属性,找到并修改其中的变量"VoptFlow = 0",保存后关闭;
在这里插入图片描述
6.破解完成。
在这里插入图片描述

Modelsim-SE 10.6d与vivado联合

vivado2017.4与2018.3,亲测有效,缺失几个IP核
1.打开vivado;Tools->Compile Sumulation Libryaries
在这里插入图片描述
2.simulator选modelsim simulator,编译库compiled library location放入新建的文件夹D:\Xilinx lib中,simulator executable path选择modelsim安装路径下的win64。注意compile xilinx IP选项勾选
在这里插入图片描述
3.点击Compile,过程大约40分钟,时间与自身电脑配置相关,时间太短,有可能是未成功,需重新编译;
在这里插入图片描述
4.编译成功。
在这里插入图片描述
5.设置全局变量,要将modelsim设置为用户全局变量,那么下一次就不需要在此编译库了。步骤如下图所示,将变量名设置为MODELSIM,变量值为modelsim.ini的路径。
在这里插入图片描述
在这里插入图片描述
6.回到vivado界面窗口.Tools-> Settings
在这里插入图片描述
7.设置完成,打开一个vivado工程,此处调用了一个dds ip 核用作测试。打开后,Tools->Settings ,Target simuator 选 Modelsim Simulator;
在这里插入图片描述
8.在一切准备好后,点Run Simulation的Run Behavioral Simulation,modelsim成功调用。
在这里插入图片描述

安装文件

在这里插入图片描述
完整文件太大,无法上传,看到网上有些modelsim-se 10.6d版本缺失图中个别文件,需要这几个文件的去下载:
链接:https://pan.baidu.com/s/1uvYKDOh9KAnML7RKsaalIw
提取码:inqp

### 回答1: ModelSim SE 10.6c是一种用于数字电路仿真和验证的软件工具。它是由应用于FPGA和ASIC设计的硬件描述语言(HDL)工具之一。 ModelSim SE 10.6c具有强大的功能和易于使用的界面,可帮助工程师进行电路级仿真、波形分析和错误调试。它支持各种HDL语言,包括VHDL、Verilog和SystemVerilog。 ModelSim SE 10.6c具有直观的图形用户界面,可显示仿真结果的波形图和信号值。通过在仿真波形上进行分析,用户可以验证电路的正确性和性能。此外,它还提供了一系列的调试工具,如断点设置、变量监视和步进仿真,帮助用户找出和修复问题。 ModelSim SE 10.6c还支持功能强大的批处理仿真功能,可以自动化测试流程,提高仿真效率。它还具有仿真模型库,包含了各种可配置的数字逻辑元件和器件模型,用于电路设计和验证。 总体而言,ModelSim SE 10.6c是一款功能全面的数字电路仿真工具,可以帮助工程师进行电路级验证和调试,并提高设计效率和质量。 ### 回答2: ModelSim SE 10.6c是一种先进的虚拟仿真工具,专为数字设计和验证工程师而设计。它是由美国Mentor Graphics公司开发的,广泛应用于数字系统的仿真和验证过程中。 ModelSim SE 10.6c具有许多强大的功能。首先,它支持多种HDL语言,包括VHDL、Verilog和SystemVerilog,可以方便地进行不同层次的仿真和验证。其次,它提供了丰富的仿真和调试功能,包括单步调试、断点设置、信号波形查看和变量监视等,有助于用户更快地发现和解决设计中的问题。 此外,ModelSim SE 10.6c还支持对设计的时序约束进行仿真和验证,可以帮助工程师确保设计在不同的时钟和时序条件下的正常运行。它还提供了强大的波形编辑器和报告生成工具,方便用户对仿真结果进行分析和总结。 ModelSim SE 10.6c的优势还体现在其高度集成的环境中。它可以与其他EDA工具(如Synopsys的Design Compiler和Cadence的Incisive)无缝集成,实现设计全流程的高效协同。此外,它还提供了多种仿真模式,包括行为仿真、功能仿真和时序仿真,可满足不同阶段和需求的仿真要求。 总之,ModelSim SE 10.6c是一款功能强大、使用方便的虚拟仿真工具,可以在数字设计和验证过程中发挥重要作用。它的丰富功能和高度集成的环境使得用户可以更快地完成设计验证工作,并确保设计的正确性和稳定性。
评论 17
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值