基于VIVADO和Xilinx FPGA的脉冲压缩的实现

  1. 本设计用MATLAB生成回波信号,以及需要用到的系数文件。然后利用VIVADO软件进行FPGA程序的开发与仿真。
  2. 软件架构流程如图:
    FPGA软件架构流程图
    3.结果:
    回波信号
    回波信号
    IQ路、下变频、滤波IQ路、下变频、滤波
    匹配滤波匹配滤波脉压结果
    脉压结果
    4.注意:参考论文中写得很详细,是很好的学习材料,特感谢原作者。但参照论文笔者没有得到理想的结果,进行更改:
    1:需自己读入回波数据,可以通过ROM或者$readmemb();
    2:论文中将滤波器数据和回波数据转化成-32767~32757之间的数据,导致脉压后数据超出31位范围;应改为能接受的31位范围以内;
    3:延时问题:回波数据写入的循环中延时1/120M即8.634,数据对应。
    重要文件下载:FPGA脉压
    参考:FPGA实现高速雷达信号脉冲压缩处理.caj 姜文博
    20200622补充:完整工程文件下载(free):完整工程
  • 8
    点赞
  • 78
    收藏
    觉得还不错? 一键收藏
  • 18
    评论
评论 18
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值