ModelSim仿真波形保存步骤

 

1、do文件的保存过程

(1)将视图切换到wave界面,即鼠标左键点击选择wave界面,使其高亮显示。

(2)file——>save format——>D:/modeltech_6.5b/examples/run_wave.do 可以更改do文件名称和存放目录,一般保存在仿真目录中。

2、wlf文件保存过程

(1)切换左边任务栏至“sim”。

(2)点击保存,给将要保存的 .wlf文件(波形文件)命名。

3、载入之前保存的波形

(1)重启modelsim,open 之前保存的 .wlf文件,

(2)然后 ,load 对应的 .do文件。如下图所示。

 

  • 14
    点赞
  • 80
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
ModelSim是一款常用的仿真工具,它可以根据设计文件和仿真文件生成波形图。波形图是用来观察和判断设计的代码功能是否正确的。在进行功能仿真时,需要准备以下文件:设计HDL源代码、测试激励代码(也称为TestBench)和仿真模型/库。设计HDL源代码可以使用VHDL语言或Verilog语言编写,而测试激励代码则是根据设计要求编写的激励程序,具有很大的灵活性。仿真模型/库根据设计中调用的器件供应商提供的模块而定,例如FIFO、ADD_SUB等。 ModelSim仿真分为前仿真和后仿真两种。前仿真是纯粹的功能仿真,用于验证电路的功能是否符合设计要求,不考虑电路门延迟和线延迟。后仿真则是时序仿真,可以考虑逻辑的时延和功能,综合考虑电路的路径延迟和门延迟的影响,验证电路在一定时序条件下是否满足设计构想,并检查是否存在时序违规。对于FPGA设计来说,通常只进行前仿真(功能仿真)即可。 ModelSim的使用方式可以分为直接使用ModelSim软件进行仿真和通过其他EDA工具如Quartus II调用ModelSim进行仿真(联合仿真)。无论是手动仿真还是自动仿真,它们都遵循以下5个步骤:新建工程、编写Verilog文件和TestBench仿真文件、编译工程、启动仿真器并加载设计顶层、执行仿真。 根据您的问题描述,我了解到您想了解ModelSim仿真波形中的两条红线以及与VHDL语言的关系。关于这个问题,我在提供的引用中没有找到相关的信息。鉴于此,我无法给出具体的回答。如果您还有其他问题,我会很乐意帮助您解答。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值