Modelsim保存与打开仿真波形的方式

在使用modelsim进行仿真时,对于一些很耗时间的仿真,可以保存仿真波形结果,下次可以直接打开查看。

1 保存波形

1.1 图形界面方式

第1步 保存dataset sim

  1. 打开sim窗口
  2. file->save dataset sim 或 按ctrl+s 保存.wlf文件

在这里插入图片描述

在这里插入图片描述

第2步 保存波形

  1. 打开Wave窗口
  2. file->save Format 或 按ctrl+s 保存.do文件

在这里插入图片描述

在这里插入图片描述

1.2 命令脚本方式

dataset save [dataset名称,一般为sim] [文件路径]/[文件名].wlf
write format wave [文件路径]/xxx.do

wlf文件保存了运行的结果,do文件则是添加波形,设置格式等命令,有了这两个文件就可以还原波形。

2 打开波形

2.1 图形界面

  1. 打开Modelsim软件。

  2. file->open ,分类选择all file,然后打开保存的.wlf文件。或者在Transcript窗口输入vsim [文件名].wlf
    在这里插入图片描述

  3. file->load->Macro file, 然后打开保存的.do文件。或者在Transcript窗口输入do [文件名].do
    在这里插入图片描述

因为Library已经没有源文件了,所以只能查看,不能再次仿真。

2.2 命令脚本

dataset open [文件路径]/[文件名].wlf  
do [文件路径]/xxx.do
  • 16
    点赞
  • 115
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 2
    评论
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

lu-ming.xyz

觉得有用的话点个赞吧 :)

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值