FPGA学习篇之计数器

FPGA学习篇之计数器


前言

  在数字电路中计数是最基本的运算,计数器就是用来实现计数的电路。计数器可以对输入脉冲的个数进行计数,可以实现测量、定时、进行数字运算等功能,同时还能够对输入脉冲进行分频,输出低于输入脉冲频率的节拍脉冲。按计数器中的触发器是否同时翻转来分类,可以将计数器分为同步式计数器和异步式计数器;按计数器中数字的增减来分类,可以分为加法、减法和可逆计数器。


一、普通计数器

  普通计数器是由加法器和寄存器,由于使用到了加法器,耗费的逻辑资源较多,普通计数器代码如下:

module counter(
	input clk_100m,
	input rst_n
);
reg [3:0] cnt;
always@(posedge clk_100m or negedge rst_n)
	if(!rst_n)
		cnt <= 4'd0;
	else 
		cnt <= cnt + 1'b1;	
endmodule

在这里插入图片描述

二、环形计数器

  将寄存器的输入与输出首位相接,便组成了环形计数器,如下图所示,环形计数器不能自启动,需要在复位的时候指定初始值。
在这里插入图片描述

module counter(
	input clk_100m,
	input rst_n
);		
//环形计数器		
reg [3:0]	cnt;
always@(posedge clk_100m or negedge rst_n)
	if(!rst_n)
		cnt <= 4'b0001;
	else 
		cnt <= {cnt[2:0],cnt[3]};	
		
endmodule

在这里插入图片描述

三、扭环形计数器(约翰逊计数器)

  与环形计数器相比,扭环形计数器将最高位的反相输出接回了最低位的输入。扭环形计数器也不能自启动,需要在复位时指定初值。
在这里插入图片描述

module counter(
	input clk_100m,
	input rst_n
);	
//扭环形计数器		
reg [3:0]	cnt;
always@(posedge clk_100m or negedge rst_n)
	if(!rst_n)
		cnt <= 4'b0000;
	else 
		cnt <= {cnt[2:0],~cnt[3]};		
endmodule

在这里插入图片描述

总结

  普通计数器虽然可以用n个寄存器表示2^n个状态,但需要额外消耗一个加法器。环形计数器用n个寄存器可以表示n个状态,扭环形计数器可以用n个寄存器表示2n个状态,状态利用率相较于扭环形有所提高,另外扭环形计数器每次状态转换时只有一位触发器改变状态,因而在将电路状态译码时不会产生竞争-冒险现象。

  • 1
    点赞
  • 24
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值