串并转换(Verilog)

该代码段展示了如何使用Verilog语言实现两种方式将一位输入数据转化为8位并行输出。第一种是通过移位寄存器,当使能信号有效时,数据左移并将新输入数据放入最低位;第二种是计数器方式,每次时钟上升沿,数据逐位加载到输出,同时计数器递增。这两种方法都可用于串行到并行转换。
摘要由CSDN通过智能技术生成

RTL代码:

module serial_parallel(
    input           clk,
    input           rst_n,
	 input           en,
    input           data_in,   //一位输入
    output reg[7:0] data_out	//8位并行输出
    );

	 //移位寄存器方式
	always @(posedge clk or negedge rst_n) begin
		if (!rst_n)
			data_out <= 8'b0;
		else if (en == 1'b1)
			data_out <= {data_out[6:0], data_in};	//低位先赋值
		else
			data_out <= data_out;
	end
	
	//计数器方式
//	reg [2:0]cnt;
//	
//	always @(posedge clk or negedge rst_n)begin
//		if(!rst_n)begin
//			data_out <= 8'b0;
//			cnt <= 3'd0;
//		end
//		else begin
//			data_out[7 - cnt] <= data_in;	
//			cnt <= cnt + 1'b1;
//		end
//	end


endmodule

评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值