串并转换verilog程序


一个串并转换的简单实现方法,用计数器的最低位作为时钟sclk,数据采用移位寄存器输出。


verilog程序如下

`timescale  1ns/1ns
module  p2s
    (
      input         clk,
      input         rst_n,
      input         load,
      input [7:0]   pdata,

      output        sclk,
      output        sdat
    );

`define FULL  4'hf

reg [3:0]   bitcnt;
reg         en;
reg [7:0]   sbuff;

always @(posedge clk or negedge rst_n)
  if(!rst_n)      en  <=  0;
  else if(load) en  <=  1'b1; 
  else if(bitcnt==`FULL)  en  <= 1'b0;

always @(posedge clk or negedge rst_n)
  if(!rst_n)  bitcnt  <=  0;
  else if(en) bitcnt  <=  bitcnt + 'b1;
  else  bitcnt  <=  0;

assign  sclk  = bitcnt[0];

always @(posedge clk or negedge rst_n)
  if(!rst_n)  sbuff <=  8'b0;
  else if(load) sbuff <=  pdata;
  else if(sclk) sbuff 
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值