Verilog HDL:PCM编码器、PCM解码器联合仿真(5)

PCM_ENC_DEC_TEST_5.v

`timescale 10ns/1ps

module PCM_ENC_DEC_TEST_5;

reg clk;
reg rst;
reg [7:0] din_enc;
reg [3:0] N;
reg INE;
wire [7:0] dout_dec;
wire D_en;
wire F_en;

parameter half_cycle = 10;

PCM_ENC_DEC ut5
(
	.clk(clk),
	.rst(rst),
	.din_enc(din_enc),
	.N(N),
	.INE(INE),
	.dout_dec(dout_dec),
	.D_en(D_en),
	.F_en(F_en)
);

initial begin
	clk = 0;
	forever begin
		clk = # half_cycle ~ clk;
	end
end

initial begin
	rst = 1;
	# (1 * half_cycle) rst = 0;
	# (2 * half_cycle) rst = 1;
end

initial

	// the initial set of input signal;
	din_enc <= 0;
	N <= 0;
	INE <= 0;
	
	// the 5 Byte data is received;
	
	// FF, 1111_1111;
	repeat (5) @ (posedge clk);
	din_enc <= 8'hFF;
	N <= 4'd4;
	INE <= 1;

	// 99, 1001_1001;
	repeat (1) @ (posedge clk);
	din_enc <= 8'h99;
	N <= 0;
	INE <= 0;

	// FF, 1111_1111;
	repeat (1) @ (posedge clk);
	din_enc <= 8'hFF;

	// 99, 1001_1001;
	repeat (1) @ (posedge clk);
	din_enc <= 8'h99;

	// FF, 1111_1111;
	repeat (1) @ (posedge clk);
	din_enc <= 8'hFF;
	
	repeat (1) @ (posedge clk);
	din_enc <= 0;
	
	repeat (4500) @ (posedge clk);
	$finish;
	
end

initial begin
	$fsdbDumpfile("./verdiFsdb/PCM_ENC_DEC_TEST_5.fsdb");
	$fsdbDumpvars(0);
end

endmodule

Experiment Result

在这里插入图片描述

在这里插入图片描述

在这里插入图片描述

在这里插入图片描述

在这里插入图片描述

在这里插入图片描述

在这里插入图片描述

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值