QuartusII13.1 详细开发步骤(半加器为例)(FPGA)

QuartusII13.1 详细开发步骤(半加器为例)(FPGA)

最近在学FPGA,用的是Quartus II 13.1的版本,仿真工具是ModelSim,之前看着视频做了一遍,但是详细的步骤还是有些记得不太清了,就写个博客记录一下吧,也方便以后写的时候如果忘了好查看。

新建一个文件夹:
在这里插入图片描述
新建一个Verilog语言的文件:
在这里插入图片描述
在这里插入图片描述
建完以后:
在这里插入图片描述
先另存为刚才所建的文件夹下:
在这里插入图片描述
在这里插入图片描述
保存以后会出现以下提示,点击yes

在这里插入图片描述
点击next;记住顶层实体的名称,工程名称和文件名称要一致
在这里插入图片描述
点击next,先把下面已经存在的这个文件remove掉:
在这里插入图片描述
找到刚才新建的.v文件:
在这里插入图片描述
在这里插入图片描述
选择好以后点击“Add”添加进来
在这里插入图片描述
然后选择目标器件:
我用的是这两个:
在这里插入图片描述
继续next:
选EDA工具:
在这里插入图片描述
next然后finish就建完这个工程了
在这里插入图片描述
下面我们就可以写半加器的程序辣:
写完代码以后保存一下:
在这里插入图片描述
下面进行编译的设置:
在这里插入图片描述
勾选上use smart complication,然后ok,这一步加不加都行,加上可能会提高一下编译的速度:
在这里插入图片描述
然后就可以编译了,点击直接编译:
在这里插入图片描述
编译完成页面:
在这里插入图片描述
建立链接:Tools->Options
在这里插入图片描述
第一次选的时候注意我化框框的那个地方,加上“\”不然后面仿真的时候会出错
在这里插入图片描述让系统生成模板:
在这里插入图片描述
生成成功:
在这里插入图片描述
生成了vt文件:
在这里插入图片描述
File->Open打开vt文件:
在这里插入图片描述
在这里插入图片描述
然后这个模块不能直接用,要自己再在里面加上一些初始值,时间单元啥的:
写完以后保存一下:
在这里插入图片描述
设置一下文件让ModelSim好调用:Assignmes->settings:

在这里插入图片描述添加testbench文件:
在这里插入图片描述
名称在自动生成的模板里:
在这里插入图片描述

在这里插入图片描述
将testbench文件加载进来:
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
剩下一直点ok就行
现在开始仿真:
在这里插入图片描述
正在打开ModelSim:
在这里插入图片描述
打开完就好啦
在这里插入图片描述
刚打开的时候可能看见的全是直线,把波形缩小一下就能看见正确的波形辣!

  • 13
    点赞
  • 95
    收藏
    觉得还不错? 一键收藏
  • 6
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 6
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值