数字后端学习之SDC

SDC文件在数字后端设计中至关重要,主要用于对PPA(功耗、性能、面积)进行约束。主要内容包括:定义时钟(create_clock, create_generate_clock)、设置输入输出延迟(set_input_delay, set_output_delay)、设计约束(如max_fanout, max_capacitance, max_transition)以及特殊路径管理(set_false_path, set_multicycle_path, set_disable_timing)等。通过对这些参数的约束,确保芯片设计的正确性和性能指标。" 129812854,12223375,MATLAB Simulink中PID控制器参数整定,"['MATLAB工具', '控制理论', '系统仿真', 'PID控制']
摘要由CSDN通过智能技术生成

SDC是一个设计中最重要的文件,为什么这么说呢,ppa大家知道把,sdc就是要对ppa进行约束,那sdc有哪些具体的内容呢,

1、描述芯片的工作速度,包括 create_clock, create_generate_clock; 要知道clock的root点,clock的sinks也要知晓。

create_clock就是告诉我们,blk的时钟信号的source点,

2、描述芯片的边界约束,包括set_input_delay, set_output_delay;

对于top来说,blk和blk之间最后是要连接起来的,但是对于blk而言,并不知道其他blk到自己的input port或者说自己的output port到其他blk的delay是多少,所以我们会在sdc里大概设置一个

3、描述芯片的一些设计上的约束包括 set_max_fanout, set_max_capacitance, set_max_transition;uncertainly;

max_fanout; 某个cell最多能驱动的扇出数(cell数),会影响输出负载,太多了,推不动。可以理解为人推车,一个人最多推3个车,超过3个就推不动了,所以3就是这个max_fanout,,影响就是会造成delay过大,影响timing。所以在前期我们就会做好最大fanout的约束

max_capacitance:

max_transition: 看文章2.数字芯片时序正确的前提—Max-tr

  • 0
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值