21.FPGA的RAM使用

在这里插入图片描述
设置位宽,深度。M9K的性能比Los要好,auto优先使用M9K。读和写都用同一个时钟
在这里插入图片描述
是否将读出的数据寄存一夏,寄存的话,会往后一个时钟
要不要添加clock使能信号
要不要添加异步复位
在这里插入图片描述
设置是读取old_data还是new_data,一般选old
在这里插入图片描述
原理如下:
在这里插入图片描述
ram是否要预先存值
在这里插入图片描述
生成mif文件
mif转hex以方便Modelsim仿真
勾选倒二个,以方便例化RAM IP核
在这里插入图片描述
正点原子家的例化代码

//ram读写模块
ram_rw  u_ram_rw(
    .clk            (sys_clk),
    .rst_n          (sys_rst_n),

    .ram_wr_en      (ram_wr_en  ),
    .ram_rd_en      (ram_rd_en  ),
    .ram_addr       (ram_addr   ),
    .ram_wr_data    (ram_wr_data),

    .ram_rd_data    (ram_rd_data)
    );

//ram ip核
ram_1port  u_ram_1port(
    .address      (ram_addr),
    .clock        (sys_clk),
    .data         (ram_wr_data),
    .rden         (ram_rd_en),
    .wren         (ram_wr_en),
    .q            (ram_rd_data)
    );
  • 0
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
FPGA片外RAM是指在FPGA器件之外的存储器。它通常用于扩展FPGA器件的存储能力,提供更大的存储空间。FPGA片外RAM可以通过不同的接口与FPGA器件连接,并根据具体的应用需求进行配置和使用使用FPGA片外RAM的步骤如下: 1. 确定存储需求:首先,需要确定所需的存储容量和存储速度。根据应用的要求,选择合适的片外RAM芯片并确定接口类型(如DDR3、DDR4、QDR等)。 2. 连接与配置:将片外RAM芯片与FPGA器件连接起来,并根据芯片规格和FPGA的引脚分配,进行连接设置。根据具体的FPGA开发工具,进行FPGA与片外RAM的配置,确保二者之间的通信正常。 3. 存储器访问:在FPGA设计中,通过使用相应的接口和协议,实现对片外RAM写操作。可以使用FPGA开发工具提供的存储器控制器来简化存储器访问的实现。 4. 数据传输:通过FPGA的IO引脚和片外RAM的接口,进行数据写传输。可以使用FPGA内置的高速串行接口(如PCIe、Ethernet等)或并行接口(如DDR接口)来实现高速数据传输。 5. 存储器管理:在FPGA设计中,需要实现合适的存储器管理机制,包括地址映射、数据缓存、错误检测和纠正等功能,以确保片外RAM的正常使用数据的完整性。 总的来说,FPGA片外RAM使用需要根据具体的存储需求和应用场景进行选择和配置。通过合理的连接、配置和数据传输,可以实现对片外RAM的有效利用,提升FPGA设计的存储能力和性能。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值