ZYNQ7000学习 7 创建并调用处理器外设的IP核

该视频教程详细讲解了如何在VIVADO中创建用户自定义的AXI处理器外设IP核。通过选择AXI4接口,配置IP核,并编辑顶层和底层的硬件描述语言(HDL)文件,实现软件与逻辑的交互。教程还涵盖了IP核的打包、验证以及如何在块设计中添加和调用新创建的IP核。
摘要由CSDN通过智能技术生成

视频7:创建并调用处理器外设的IP核

说明:VIVADO自带了一些处理器外设,比如第5节所介绍和调用的GPIO核。我们实际应用中要创建自己的外设,挂在处理器总线上,将寄存器映射到处理器的寻址空间,达到软件和逻辑的真正结合。这一张我们就做个演示如何创建用户外设以及如何调用。

这个视频在注重演示说明步骤的同时,也跟大家说了如何分析IP核的实现代码,以及用户如何修改而适用自己的外设。

ZYNQ7互联使用的是AXI总线。

USER_IP_TEST-> Create_ip 是建立IP的项目。

USER_IP_TEST-> IP_Core 是生成的IP核。

USER_IP_TEST-> IP_TEST 是演示如何添加IP到当前项目以及例化调用。

前面步骤:(创建工程略)

1. 创建ip步骤:

在这里插入图片描述

1.1选着AXI4:

在这里插入图片描述

1.2.(说明:这个地址就是ip核打包创建的地址)

在这里插入图片描述

1.3.接口模式选着:

在这里插入图片描述

1.4. 创建成功之后编辑ip核:(他生成的文件只是一个模板)

在这里插入图片描述

(首先在外层写(包装),然后再在里面写):这是包含的两个文件夹

2.配置ip(修改顶层和底层的hdl文件)

2.1在外层增加输入和输出:

在这里插入图片描述
在这里插入图片描述

2.1里层:增加

在这里插入图片描述

在文件夹里面修改(实际上可以就在vivado里面修改{下面有标注})

以下的两个文件对应的就是上图的外层和内层文件:所以可以在vivado里面修改;

这个文件位置就是上面的那个路径

在这里插入图片描述

在这里插入图片描述
在这里插入图片描述

2.2点击运行:

或者点击终和都行(主要是查错)

成功之后(点击第三个查看报告)

在这里插入图片描述

2.3点击package ip :(回去修改ip)

在这里插入图片描述

要确保这两个点通:(led和sw)

注释:interfaces是AXI的界面接口;

在这里插入图片描述

2.4生成好之后进行打包:

在这里插入图片描述

建立块设计就可以看到刚才建立的sw_led:

在这里插入图片描述

如果ip核建立好之后找不到怎么办呢?

可以这样:在这里插入图片描述

在这里插入图片描述

添加成功:(这个时候搜索的话就能找到了)

在这里插入图片描述

以下就是新建的ip核:
在这里插入图片描述

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值