ubuntu下vcs仿真范例及问题处理

环境:Ubuntu
编写:verilog:vim
编译仿真:vcs

vim counter.v
module  counter(
input   clk,
input   rst,
output  reg     [5:0]   count
);
always  @(posedge       clk     or      negedge rst)
begin
        if(!rst)
                count <= 0;
        else
                count <= count + 1;
end
endmodule
vim tb_counter.v
module  tb_counter();
reg     clk,rst;
wire    [5:0]   counter;

counter u1(clk,rst,counter);
always #(5) clk = ~clk;

initial begin
        clk     <=      0;
        rst     <=      0;
        #20;
        rst     <=      1;
        #50;
        if(counter != 5)
                $display("tb counter finish.");
        else
                $display("You gotta the right result!");
        $finish;
end
`ifdef FSDB
        initial begin
                $fsdbDumpfile("tb.fsdb");
                $fsdbDumpvars;
        end
`endif

endmodule

问题报错处理:
直接用vcs编译会报错如下:

Makefile:109: recipe for target 'product_timestamp' failed
```powershell
vim makefile

改用gcc匹配版本即可。

com:
        vcs -full64     \
        -sverilog       \
        -cpp g++-4.8    \
        -cc gcc-4.8     \
        -LDFLAGS -Wl,--no-as-needed     \
        -f file.list    \
        +v2k    \
        -l com.log      \
        -debug_all+all  \
        -kdb    \
        -timescale=1ns/1ps      
sim:
        ./simv  \
        -l run.log      \
        -gui &

make

也可直接用命令:

vcs -R -full64 -cpp g++-4.8 -cc gcc-4.8 -LDFLAGS -Wl,--no-as-needed +v2k -fsdb +define+FSDB -sverilog counter.v tb_counter.v timescale.v -l run.log

问题二:

g++-4.8:error:unrecognize command line option '-no-pie'

修改vcs安装路径:~/synopsys/vcs_2018vo/vcs/O-2018.09-SP2/bin
将SNPS_VCS_INTERNAL_UBUNTU_PRE_LDFLAGS后面的-no-pie删除即可。
最后结果:

/synopsys/vcs_2018vo/vcs/O-2018.09-SP2/linux64/lib/vcs_save_restore_new.o -ldl  -lc -lm -lpthread -ldl 
../simv up to date
make[1]: Leaving directory '/home/hostname/uvmdemo/counter/csrc'
CPU time: 1.841 seconds to compile + .359 seconds to elab + .253 seconds to link
Verdi KDB elaboration done and the database successfully generated: 0 error(s), 0 warning(s)

  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值