gmii_to_rgmii的使用心得

以zynq7工程为基础;

在PS内使能“Ethernet”选用emio,再添加IP核“gmii_to_rgmii”,这部分的搭建工程的文章很多,故不再叙述。

IP核设置很简单,如图。

这里有一点需要说明的是:如果调测是发现发送端TX不正常,可以尝试勾选“skew added by PHY”或“skew added though ODELAY”。因为有时外部网口PHY芯片的状态不明确。

最重要的一点是(其他文档未提及):

这个IP核的有比较严格的时序约束,见约束文件“soc_gmii_to_rgmii_0_0_clocks.xdc”文件。

 

文件内具体约束了发送端和接收端的时序关系,应用到了get_ports语句,get_ports语句后面的信号必须为工程顶层的信号命名,所以,在顶层文件信号命名是必须注意这一点。

亦或者修改.xdc文件(该方法不推荐)

只有这样做了,在工程编译时,才不会报相关的warning,网口功能也才能得到保证。

  • 2
    点赞
  • 19
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值