FPGA学习之 RAM

这篇博客详细探讨了FPGA中的RAM相关知识,包括其工作原理和使用Verilog进行设计的方法。通过参考FPGA中ROM与RAM的知识总结,作者深入解析了如何在FPGA设计中实现和应用RAM。
摘要由CSDN通过智能技术生成

参考:

FPGA中ROM与RAM相关知识总结

代码部分:

module ram #(parameter RAM_WIDTH = 8 , RAM_DEPTH = 128)(
        clk         ,
        rst         ,

        wr_en       ,
        wr_din      ,
        wr_addr     ,

        rd_en       ,
        rd_addr     ,
        rd_dout
);


//定义一个求2的对数,求位宽
function log2b(input integer data)
begin
    for(log2b = 0;data > 0;log2b = log2b + 1)begin
        data = data >> 1;
    end
end  
endfunction

//端口信号定义 
 input                              clk         ;
 input                              rst         ;
 input                              wr_en       ;
 input  [RAM_WIDTH-1:0]             wr_din      ;
 input  [log2b(RAM_DEPTH)-2:0]      wr_addr     ;
 input                              rd_en       ;
 input  [log2b(RAM_DEPTH)-2:0]      rd_addr     ;
 output reg [RAM_WIDTH-1:0]         rd_dout     ;


//定义内部信
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值