用verilog实现CRC-8的串行计算

乐鑫科技校招芯片岗真题

这题代码有问题,请不要照抄
用verilog实现CRC-8的串行计算,G(D)=D8+D2+D+1,计算流程如下
在这里插入图片描述
解析:这个题目涉及到状态机(控制通路)和移位计算(数据通路)的混合,稍微有点复杂。基本上围绕着控制通路和数据通路切分的思想来设计会比较清晰。
CRC串行计算的原理就是通过线性反馈移位寄存器进行输入数据移位,同时对应的生成多项式相应项数与对应数据位做异或反馈到输入端,当所有的数据位均移入时,移位寄存器的值就是CRC结果。

控制通路方面,一个状态机控制,需要以下几个状态:
IDLE:等待CRC开始信号
WAIT:等待有效位的串行输入进行移位,如果下一次输入为无效数据,停留此状态,否则跳转到LF
LF:做一次移位计算,如果下一次输入仍为有效数据,则停留此状态,否则回到WAIT状态。每次进入此状态,移位计数器加1,到达32时进入OUTPUT状态
OUT: 完成32bit计算,开始输出移位数据,完成移位输出则回到IDLE

数据通路方面,就是8bit线性反馈移位寄存器,只有在CAL状态下可以进行移位,移位寄存器使能为1。此外该线性反馈移位寄存器的生成多项式对应bit在移位前需要做相应的异或运算,根据图示逻辑来写代码即可。当32bit的输入完全移入移位寄存器时,CRC计算完成,开始输出结果,只有在OUTPUT状态下数据的输出crc_valid才可以为高。

`timescale 1ns / 1ps
//
// Company: 
// Engineer: 
// 
// Create Date: 2021/04/29 19:20:53
// Design Name: 离离离谱
// Module Name: timu1
// Project Name: 
// Target Devices: 
// Tool Versions: 
// Description: 
// 
// Dependencies: 
// 
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
// 
//


module timu1(
    clk,
    rst_n,
    data,
    data_valid,
    crc_start,
    crc_out,
    crc_valid
    );
input    clk;
input    rst_n;
input    data;
input    data_valid;
input    crc_start;
output    crc_out;
output    crc_valid;

reg [7:0] lf;
reg [4:0] counter,crc_counter;//counter 计数32个data,crc_counter计数输出数据
reg [1:0] state , next_state;//当前状态和次态
reg [31:0] temp;
parameter IDLE = 2'b00 , WAIT = 2'b01, LF =  2'b10,OUT = 2'b11;
reg out;
always@(posedge clk or negedge rst_n)begin
    if(!rst_n)begin
        state <= IDLE;
    end
    else begin
        state <= next_state;
    end
end 

always@(*)begin
    if(!rst_n)begin
        next_state <= IDLE;
    end
    else begin
        case(state)
            IDLE:begin
                if(crc_start)begin//当crc_start信号拉高时,说明开始传输数据
                    next_state <= WAIT;
                end
                else begin
                    next_state <= IDLE;
                end
            end
            WAIT:begin
                if(data_valid)begin//当数据有效时,进行移位操作
                    next_state <= LF;
                end
                else begin
                    next_state <= WAIT;
                end
            end
            LF:begin
                if(counter == 5'd31)begin//当记满32个数据时,跳转到out状态
                    next_state <= OUT;
                end
                else if(data_valid)begin//当数据还是有效时,继续LF状态
                    next_state <= LF;
                end
                else begin
                    next_state <= WAIT;
                end
            end
            OUT:begin
                if(crc_counter == 5'd31)begin//当输出满32个数据时,回到空闲状态
                    next_state <= IDLE;
                end
                else begin
                    next_state <= OUT;
                end
            end
        endcase
    end
end

always@(posedge clk or negedge rst_n)begin
    if(!rst_n)begin
        counter <= 0;
        lf <= 8'b1111_1111;
        temp <= 0;
        crc_counter <= 0;
    end
    else begin
        case(state)
            IDLE:begin
                lf <= 8'b1111_1111;
                crc_counter <= 0;
            end
            WAIT:begin
                if(data_valid)begin
                    counter <= counter + 1;
                    lf <= {lf[6:2],data^lf[7]^lf[1],data^lf[7]^lf[0],data^lf[7]};
                    temp[counter] <= lf[7];
                end
                else begin
                    counter <= counter;
                    lf <= lf;
                end
            end
            LF:begin
                if(counter == 5'd31)begin
                    counter <= 0;
                end
                else if(data_valid)begin
                    counter <= counter + 1;
                    lf <= {lf[6:2],data^lf[7]^lf[1],data^lf[7]^lf[0],data^lf[7]};
                    temp[counter] <= lf[7];
                end
                else begin
                    counter <= counter;
                end
            end
            OUT:begin
                if(crc_counter == 5'd31)begin
                    crc_counter <= 0; 
                end
                else begin
                    crc_counter <= crc_counter + 1;
                    temp <= {1'b0,temp[31:1]};
                end
            end
        endcase
    end
end

assign crc_valid = (state == OUT)?1'b1:1'b0;
assign crc_out = (state == OUT)?temp[0]:1'b0;
endmodule

  • 1
    点赞
  • 22
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 7
    评论
对于串行CRCVerilog代码实现,可以参考以下示例代码: ```verilog module CRC8_CCITT (clk, reset, din, init_crc, calc_crc, crc_out); input clk, reset; input din; input \[7:0\] init_crc; input calc_crc; output \[7:0\] crc_out; parameter CRC_INIT_VALUE = 8'hFF; reg \[7:0\] crcreg, crcreg_nxt; wire \[7:0\] newcrc; wire \[7:0\] crc_out; assign newcrc\[0\] = crcreg\[7\] ^ din; assign newcrc\[1\] = (crcreg\[7\] ^ din) ^ crcreg\[0\]; assign newcrc\[2\] = (crcreg\[7\] ^ din) ^ crcreg\[1\]; assign newcrc\[3\] = crcreg\[2\]; assign newcrc\[4\] = crcreg\[3\]; assign newcrc\[5\] = crcreg\[4\]; assign newcrc\[6\] = crcreg\[5\]; assign newcrc\[7\] = crcreg\[6\]; always @(*) begin if (init_crc) crcreg_nxt = CRC_INIT_VALUE; else if (calc_crc) crcreg_nxt = newcrc; else crcreg_nxt = crcreg; end always @(posedge clk or negedge reset) begin if (!reset) crcreg = CRC_INIT_VALUE; else crcreg = crcreg_nxt; end assign crc_out = crcreg; endmodule ``` 这个Verilog模块实现CRC-8的串行计算。它使用了一个8位的寄存器`crcreg`来存储CRC的中间结果,通过移位和异或操作来计算新的CRC值。模块还包括了时钟信号`clk`、复位信号`reset`、输入数据信号`din`、初始化CRC值信号`init_crc`和计算CRC信号`calc_crc`。最终的CRC结果通过输出端口`crc_out`输出。 请注意,这只是一个示例代码,具体的实现可能会根据具体的需求和设计要求有所不同。 #### 引用[.reference_title] - *1* *3* [简单串行CRCverilog实现](https://blog.csdn.net/qq_39814612/article/details/105748345)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^control_2,239^v3^insert_chatgpt"}} ] [.reference_item] - *2* [用verilog实现CRC-8的串行计算](https://blog.csdn.net/weixin_45243340/article/details/117448942)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^control_2,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 7
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

离离离谱

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值