5输入的多数表决器(行为建模)

  • 行为建模实现5输入的多数表决器

voter5

`timescale 1ns / 1ps
//
// Company: 
// Engineer: 
// 
// Create Date: 2021/04/07 19:40:02
// Design Name: 
// Module Name: voter5
// Project Name: 
// Target Devices: 
// Tool Versions: 
// Description: 
// 
// Dependencies: 
// 
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
// 
//


module voter5(
   input logic [4:0] I,
   output  logic pass

    );
    int num;
        always_comb begin
        int i;
        num=0;
        for(i=0;i<5;i=i+1) begin
           if(I[i]==1) num=num+1; 
           else num=num; 
        end
        if(num>2) begin pass=1;end
        else begin pass=0; end
        end
    
    
    
endmodule

voter5_tb(测试文件)

`timescale 1ns / 1ps
//
// Company: 
// Engineer: 
// 
// Create Date: 2021/04/07 19:41:43
// Design Name: 
// Module Name: voter5_tb
// Project Name: 
// Target Devices: 
// Tool Versions: 
// Description: 
// 
// Dependencies: 
// 
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
// 
//


module voter5_tb();
     logic [4:0] I;
 logic  pass;
 integer i;
 voter5 DUT(.I(I),.pass(pass));
 initial begin
   for(i=0;i<32;i=i+1) begin
    I=i;
    #20;   
   end
  
 end
 initial begin
 $timeformat(-9,0,"ns",5);
 $monitor("At time %t: I=%b,pass=%b",$time,I,pass);
 end
endmodule

voter5.xdc(约束文件)

set_property -dict {PACKAGE_PIN B9  IOSTANDARD LVCMOS33} [get_ports {I[0]}];
set_property -dict {PACKAGE_PIN D11  IOSTANDARD LVCMOS33} [get_ports {I[1]}];
set_property -dict {PACKAGE_PIN B11  IOSTANDARD LVCMOS33} [get_ports {I[2]}];
set_property -dict {PACKAGE_PIN B12  IOSTANDARD LVCMOS33} [get_ports {I[3]}];
set_property -dict {PACKAGE_PIN A10  IOSTANDARD LVCMOS33} [get_ports {I[4]}];

set_property -dict {PACKAGE_PIN K13  IOSTANDARD LVCMOS33} [get_ports {pass}];

set_property BITSTREAM.GENERAL.COMPRESS TRUE [current_design]
  • 8
    点赞
  • 16
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值