自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(73)
  • 收藏
  • 关注

原创 【知识】从音频CS4334 DAC转换的电路引发的一些知识

CS4334

2022-09-13 15:39:27 2128

原创 Vivado关联Vscode,解决Vscode自动保存和卡顿问题

vivado关联vscode

2022-09-01 22:56:00 7503 9

原创 【FPGA】Verilog实现奇偶分频

文章目录一、奇分频一、代码部分二、仿真部分二、偶分频一、代码部分二、仿真部分三、D触发器二分频一、代码部分二、仿真部分四、总结一、奇分频一、代码部分// 奇分频module odd_div( input clk, input rst_n, output clk_odd_div );// 7分频parameter DIV_NUM = 7;// 分频计数器reg [2:0] c

2022-02-28 19:06:45 1074

原创 【FPGA】FPGA lcd彩条的实现

文章目录一、LCD二、看TM043NDH02-40--LCD手册1.描述2.信号接口3.LCD模块图4.LCD时序5.LCD原理图三、代码部分1.==lcd_driver.v==2.==lcd_show.v==3.==top.v==四、仿真验证五、上板验证六、总结一、LCDLCD ( Liquid Crystal Display 的简称)液晶显示器。LCD 的构造是在两片平行的玻璃基板当中放置液晶盒,下基板玻璃上设置TFT(薄膜晶体管),上基板玻璃上设置彩色滤光片,通过TFT上的信号与电压改变来控制液晶

2022-02-21 22:13:41 1637 1

原创 【FPGA】FPGA sdram读写实现

文章目录一、状态机设计二、代码部分1.==sdram_interface.v==2.==sdram_control==3.==top.v==3.其他模块三、仿真验证四、上板验证五、总结想看我之前关于sdram的看我之前的博客【FPGA】sdram接口实现一、状态机设计控制模块的状态机也就idle,read,write,done这几个基本的状态我发现现在写这些模块,没有状态机不舒服二、代码部分1.sdram_interface.v【FPGA】sdram接口实现2.sdram_con

2022-02-19 13:43:44 2652 2

原创 【FPGA】FPGA sdram接口实现

文章目录一、sdram二、看sdram手册找关键1.描述2.接口信号3.关键的时间4.模式寄存器设置5.各个状态不同的数据6.手册里的状态机7.读时序8.电源启动9.初始化的刷新三、状态机设计1.sdram接口状态机四、代码实现sdram接口1.==sdram_interface.v==五、仿真验证六、quartus调用ip核实现sdram接口七、总结一、sdram同步动态随机存取内存(synchronous dynamic random-access memory,简称SDRAM)是有一个同步接口的动

2022-02-17 11:18:12 8296 8

原创 【FPGA】FPGA基于spi的flash读写

文章目录一、SPI二、看spi--flash手册找关键1.描述2.flash接口信号3.SPI模式选择4.高字节MSB5.指令6. 写使能时序7.读ID时序8.读寄存器时序(我没用到)9.读数据时序10.页编程11.扇区擦除12.重要的时间三、状态机设计1.i2c接口状态机2.flash读状态机3.flash写状态机四、代码部分1.==spi_interface.v==2.==spi_read_ctrl.v==3.==spi_write_ctrl.v==4.==spi_control.v==5.==top.

2022-02-14 15:32:51 15895 3

原创 【FPGA】FPGA基于i2c的eeprom读写

文章目录一、i2c协议二、看i2c--eeprom手册找关键1.设别型号选择2.描述3.总线时序图4.总线开始和停止5.数据在总线上传输6.设备地址(控制命令)7.写操作8.读操作三、状态机设计1.i2c协议接口的状态图2.eeprom读写的状态图四、代码部分1.==i2c_interface.v==2.==master_ctrl.v==3.==top.v==4.其他模块五、仿真验证六、上板验证七、总结一、i2c协议I2C总线是由Philips公司开发的一种简单、双向二线制同步串行总线。它只需要两根线即

2022-02-12 12:54:17 10591 3

原创 【SoC FPGA】自定义ip之PWM呼吸灯

文章目录一、基本准备二、Verilog代码部分1.==pwm_avalon_port.v==2.==pwm_logic.v==三、自定义ip设置四、黄金工程代码修改五、C语言实现六、执行效果七、总结一、基本准备【SOC FPGA】外设PIO按键点灯二、Verilog代码部分因为我们是自定义ip,所以需要知道avalon总线协议,因为SoC FPGA是AXI总线与avalon总线自动转换的,所以我们需要接口对上avalon的接口1.pwm_avalon_port.vmodule pwm_av

2022-02-11 15:12:16 2211

原创 【SoC FPGA】外设PIO按键点灯

文章目录一、前期准备二、添加PIO外设一、黄金参考工程二、配置PIO外设三、Generation生成四、黄金参考工程代码修改五、编译黄金工程三、生成相应的文件,转移至sd卡内一、dtb设备树文件二、rbf文件三、替换sd卡内的dtb和rbf文件四、生成hps_0.h三、C语言实现一、 创建并配置工程二、C语言实现按键点灯四、连接SoC FPGA五、执行效果六、总结一、前期准备SoC学习篇—实现hello FPGA打印要把该准备好的硬件给准备好二、添加PIO外设一、黄金参考工程1.打开黄金参考

2022-02-11 13:48:16 2501

原创 【FPGA】ds18b20温度传感器

文章目录一、ds18b20温度传感器二、看ds18b20手册找关键1.引脚说明2.最高位字节和最低位字节数据3.ds18b20暂存器数据4.需要的命令5.主状态机6.从状态机7.初始化时序8.写时隙9.读时隙10.关键时间参数11.低字节先发三、状态机设计1.主状态机1.初始化阶段2.发送命令阶段3.读取数据阶段2.从状态机3.状态图四、代码部分1.==ds18b20_driver.v==2.==ds18b20_ctrl.v==3.==top.v==4.==seg_driver==五、仿真验证六、上板验证七

2022-02-10 17:02:23 12677 7

原创 【FPGA】串口通信UART

文章目录一、UART串口通信一、基本概念二、串口数据三级目录一、UART串口通信一、基本概念串口是串行接口(serial port)的简称,也称为串行通信接口或COM接口。串口通信是指采用串行通信协议(serial communication)在一条信号线上将数据一个比特一个比特地逐位进行传输的通信模式。串口按电气标准及协议来划分,包括RS-232-C、RS-422、RS485等。RS-232-C、RS-422、RS485的区别二、串口数据起始位:0数据位:6/7/8位数据奇偶校

2022-01-17 20:50:31 2320 1

原创 【Python】Python基础一

文章目录一、Python基础二、任务要求三、总结一、Python基础可以看看此博客python 基本、条件和循环语句相关实验二、任务要求动手实验(你先自己写一遍,不要看我的)1.输入年月,输出本月有多少天2.石头剪刀布游戏,石头1 剪刀2 布3,玩家输入数字,电脑产生一个数字,根据数字输出输赢import random 导入随机数模块computer=random.randint(1,3) random.randint(1,10) 生成1-10之间的随机数,包括1和103.求解一

2022-01-10 17:08:10 574

原创 【FPGA】状态机写电子时钟

这里写目录标题一、设计思路状态转移图二、代码部分三、仿真验证一、设计思路这个状态机很ez的,就三个状态1.IDLE(常规初始化状态)2.SET_T(设置时间状态)3.SET_A(设置闹钟时间状态)状态转移图状态转移条件assign idle2st = (state_c == IDLE) && (key_out[0]);// 按下设置时钟键assign idle2sa = (state_c == IDLE) && (key_out[1]);// 按下

2021-12-08 09:02:20 1067 2

原创 【FPGA】状态机写按键消抖

文章目录一、状态机原理二级目录三级目录一、状态机原理状态(FSM),又称有限状态机,一段式状态机一段式状态机似乎是一锅端,把所有逻辑(包括输入,输出,状态)都在一个always里解决了,这种写法看上去好像很简洁,但是往往不利于维护,这种写法不太推荐,但是在一些简单的状态机中还是可以使用的。两段式状态机是一种常用的写法,他把时序逻辑和组合逻辑划分开来,时序逻辑里进行当前逻辑和下一逻辑的切换,组合逻辑里实现各个输入输出及状态判断,这种写法相对容易维护,不过组合逻辑输出较容易出现毛刺等常见问题。三段式

2021-12-07 09:54:22 780

原创 【FPGA】数码管动态显示之电子时钟

文章目录一、数码管动态显示的原理二、设计思路三级目录一、数码管动态显示的原理数码管动态显示其实就是数码管静态显示的升级版,给的段选信号是一样的,就是显示哪个字,但是不一样的是取决于给哪个位选信号,就是显示哪个数码管,给一个计数器,计数器结束就换下一个位选,以达到肉眼看不到闪烁的效果,就觉得数码管全部都在亮,其实就有个动态扫描的过程的。二、设计思路任务要求设计一个时钟这里的任务就比之前的数码管静态显示的任务要求高一点了,但学会了动态显示的原理,就不那么难了思路如下:设计一个时钟无非就是解决

2021-11-29 20:51:11 6611 1

原创 【FPGA】实战之数码管静态显示

文章目录一、数码管静态显示的原理二、设计思路三、代码部分五、总结一、数码管静态显示的原理数码管其实就是由8个led集成在一起的,我们这里是FPGA,是共阳极数码管,给低电平就是点亮,数码管显示有静态和动态显示。那啥叫静态显示,我们这里补充一个知识点,就是数码管的驱动方式有两种,一种是静态驱动,就是一个数码管的片选(哪个)和段选(显示哪个段)各自都接一个接口,所需的接口就是片选×段选的个数,所以需要的接口特别特别的多,这种就是数码管的静态显示,第二种就是动态驱动,动态驱动就是动态显示。二、设计思

2021-11-26 20:06:39 3906 3

原创 【FPGA】实战之按键消抖

文章目录一、按键消抖的原理二、按键消抖的设计思路三、代码部分四、仿真验证五、总结一、按键消抖的原理一般开发板上的按键都是机械按键,所以在按下的时候,会产生回弹的时刻,称为机械的弹性开关,这导致你按键按下但不一定真的按下了,按键弹起来了但不一定真的弹起来了,所以我们常常能看到这样的两幅图片1.第一幅图是实际波形图,产生这样的毛刺2.第二幅图是理想中的毛刺图当然专业的术语还是的得看专业的,我这里只是笼统地讲一讲。二、按键消抖的设计思路按键消抖,顾名思义,就是当按键不再抖动的时候,整体的设计思路

2021-11-25 19:58:10 9357 2

原创 【FPGA】实战之呼吸灯

文章目录一、呼吸灯的原理二、设计思路三、代码部分四、仿真验证五、上板烧录验证一、呼吸灯的原理呼吸灯,顾名思义,就是跟人的呼吸一样,是有一个过程的,由暗到亮,再由亮到暗的过程。关于呼吸灯的原理就是利用PWM(脉冲宽度调制)的原理,不了解PWM没关系,用一句通俗易懂的话,来说,就是调节led灯的亮和灭在一定时间内的占比,一般一个led的正常亮灭的占比是各50%,但如果我调节亮灭占比,比如我给亮占比20%,给灭占比80%,就是比正常的led暗一点,反之就是比正常的亮一点,所以我们设计的思路就是控制这个占空比

2021-11-25 12:39:29 5061 3

原创 【FPGA】实战之创建项目

这里写目录标题一级目录二级目录三级目录一级目录二级目录三级目录module follow_led(input clk,input rst_n,output reg [3:0] led);//50_000_000ms/1000ms=50_000 500ms=50_000*500=25_000_000parameter CNT_TIME = 2500_0000;//500m

2021-11-24 19:39:28 1254

原创 利用TensorFlow和Keras来做猫狗识别

文章目录一、环境配置1.tensorflow和keras的安装二、猫狗数据集的实验1.创建三个子集的新数据集2.构建网络3.数据预处理`4.使用数据增强5.查看增强后的图像一、环境配置1.tensorflow和keras的安装pip install tensorflowpip install keras使用命令查看是否安装完毕import keraskeras.__version__会发现有错误,因为你下载的keras的版本对应不上你的Python3.8 所以导入不了(我猜的)

2021-06-18 13:59:31 536 1

原创 VGA协议与VGA端口生成彩条图案

文章目录一、VGA协议1.VGA接口2.VGA协议二、实验要求三、连接板子和显示屏一、VGA协议1.VGA接口VGA(Video Graphics Array)视频图形阵列是IBM于1987年提出的一个使用模拟信号的电脑显示标准。VGA接口即电脑采用VGA标准输出数据的专用接口。VGA接口共有15针,分成3排,每排5个孔,显卡上应用最为广泛的接口类型,绝大多数显卡都带有此种接口。它传输红、绿、蓝模拟信号以及同步信号(水平和垂直信号)。2.VGA协议二、实验要求任务要求:通过Verilog编

2021-06-01 17:01:42 324

原创 信息熵与编码

文章目录一、信息熵二、题目1.香农-凡诺编码2.霍夫曼编码三、实验证明一、信息熵信息是个很抽象的概念。人们常常说信息很多,或者信息较少,但却很难说清楚信息到底有多少。比如一本五十万字的中文书到底有多少信息量。直到1948年,香农提出了“信息熵”的概念,才解决了对信息的量化度量问题。信息熵这个词是C.E.Shannon(香农)从热力学中借用过来的。热力学中的热熵是表示分子状态混乱程度的物理量。香农用信息熵的概念来描述信源的不确定度。二、题目任务要求一串消息包含A,B,C,D,E共5类符号,其内容

2021-05-28 20:25:45 759

原创 HLS的入门理解

文章目录一、HLS的简介1.HLS是什么?2.与VHDL/Verilog有什么关系?二、HLS的技术1.有哪些关键技术问题?2.目前存在什么技术局限性?一、HLS的简介1.HLS是什么?高层次综合(High-level Synthesis)简称HLS,指的是将高层次语言描述的逻辑结构,自动转换成低抽象级语言描述的电路模型的过程。所谓的高层次语言,包括C、C++、SystemC等,通常有着较高的抽象度,并且往往不具有时钟或时序的概念。相比之下,诸如Verilog、VHDL、SystemVerilog等低

2021-05-28 19:19:06 1557

原创 Verilog的学习

文章目录一、在线Verilog编程网站学习一、门电路一、选择与门二、或非门三、同或门二、组合电路一、半加器二、全加器三、2选1多路复用器三、时序电路一、D触发器二、8位D触发器三、带复位按钮的D触发器二、Robei的安装与练习一、在线Verilog编程网站学习网站地址:Wire一、门电路一、选择与门编写代码module top_module( input a, input b, output out ); assign out=a&b;end

2021-04-27 18:42:06 343

原创 机器学习算法的常用评价指标的查准率”“查全率”“F1-score”

文章目录一、机器学习算法的常用评价指标算法1算法2一、机器学习算法的常用评价指标任务要求:假如重庆交通大学准备招标采购一套宿舍行人目标图像识别系统,选择2家公司的产品来测试。测试手段是:从学生宿舍区一段监控视频中识别男生的人数。实验结果如下:算法1(产品1)的检测结果:检测出“男生”人数82人,其中78人为男生,4人其实是女生2)算法2(产品2)的检测结果:检测出“男生”人数88人,其中80人为男生,8人其实是女生3)经过人工检测,视频中实际准确的总人数为100人,其中男生80人,女生2

2021-04-24 20:37:32 398

原创 NIOS-II---Led流水灯

建立新项目(步骤与 EDA 设计时一样)进行 Qsys 系统设计启动 Platform Designer 后,点击 File-save,如图 1.7 所示,在文件名中填写为 kernel后点击 OK,如图 1.3 所示;。(4)添加 CPU 和外围器件。从 PD 的元件池中选择以下元件加入到当前设计的系统中:Nios II 32-bit CPU、jtag uart、片上存储器、PIO、system ID。 ① 添加 Nios II 32-bit CPUa. 在“component li

2021-04-23 16:44:59 859 1

原创 FPGA设计入门--1位全加器的设计

文章目录一、一位全加器二、Quartus-II软件完成一个1位全加器的设计(原理图输入)一、新建工程二、新建原理图文件三、将设计项目设置成可调用的元件四、半加器仿真五、设计全加器顶层文件六、将设计项目设置成顶层文件并编译仿真七、引脚绑定及硬件下载测试八、引脚绑定九、烧录程序十、最终成果三、Quartus-II软件完成一个1位全加器的设计(Verilog编程)一、一位全加器全加器是能够计算低位进位的二进制加法电路。与半加器相比,全加器不只考虑本位计算结果是否有进位,也考虑上一位对本位的进位,可以把多个一位

2021-04-08 14:48:37 3081

原创 机器学习--Python矩阵运算和梯度下降法

文章目录==Python==1、矩阵基本运算2、矩阵乘法3、矩阵转置4、求方阵的迹5、方阵的行列式计算方法6、求逆矩阵 / 伴随矩阵总结Python1、矩阵基本运算1.引入 numpy 库import numpy as np2.使用 mat 函数创建一个 2X3矩阵a = np.mat([[1, 2, 3], [4, 5, 6]]) a3.使用 shape 可以获取矩阵的大小a.shape4.使用下表读取矩阵中的元素5.进行行列转换a.transpose()a.T

2021-04-05 21:08:51 1398 2

原创 数字电路基础与Quartus-II

文章目录一、安装Quartus-II 13二、注册Quartus-II 13三级目录一、安装Quartus-II 13选择要安装的路径经过长时间的等待二、注册Quartus-II 13选择其中一个NIC将前面生成的license.bat以记事本打开,然后替换里面的XXXXXXXX,以下为替换后的文件注册成功三级目录...

2021-04-02 20:21:19 570

原创 用Excel和python编程完成线性规划问题的求解

文章目录一、用Excel完成线性规划二、用python编程完成线性规划三、拉格朗日方法求解一、手工求解二、编程求解一、用Excel完成线性规划先将数据集录入Excel中,找到Excel的规划求解增加约束条件1增加约束条件2增加约束条件3增加约束条件4增加约束条件5增加约束条件6增加约束条件7最后求解得到的结果二、用python编程完成线性规划# 导入包from scipy import optimizeimport numpy as np#创建矩阵,c为

2021-04-01 21:10:52 549

原创 在Jupyter下完成一个鸢尾花数据集的线性多分类

Jupyter下完成一个鸢尾花数据集的线性多分类、可视化显示与测试精度实验

2021-03-26 21:43:37 785 2

原创 Rviz显示电脑摄像头

文章目录一、事先准备工作二、安装Rviz三、安装摄像头功能包四、参考资料一、事先准备工作检查一下自己的摄像头sudo apt-get install cheesecheese二、安装Rvizrosdep install rvizrosmake rviz打开两个终端,分别输入,就会出现下面软件roscorerosrun rviz rviz三、安装摄像头功能包安装uvc camera功能包sudo apt-get install ros-melodic-uvc-cam

2021-03-20 21:42:46 297

原创 ROS的话题,服务,动作编程练习(未完成)

文章目录一、创造工作空间二、创建功能包三、ROS通信编程一、话题编程服务编程动作编程一、创造工作空间 mkdir -p ~/catkin_ws/src#创建文件夹 cd ~/catkin_ws/src#进入目录 catkin_init_workspace#初始化,使其成为ROS的工作空间cd ..catkin_make下面设置环境变量,让其对所有终端都有效。首先编辑环境文件:sudo nano ~/.bashrc如果想要知道环境变量,可以使用下面的命令检查环境变量。sour

2021-03-20 21:16:45 117

原创 对鸢尾花Iris数据集进行SVM线性分类练习

文章目录一、pyhton和anaconda的安装二、创建虚拟环境,在虚拟环境下安装 numpy、pandas、sklearn包三、对鸢尾花Iris数据集进行SVM线性分类练习线性分离非线性数据分类多项式特征RBF核函数超参数\gamma γ四、参考资料一、pyhton和anaconda的安装具体过程直,详细请参考python与anaconda安装(先安装了python后安装anaconda,基于python已存在的基础上安装anaconda)——逼死强迫症、超详解二、创建虚拟环境,在虚拟环境下安

2021-03-20 15:35:18 1750 4

原创 高尔顿数据集和Anscombe四重奏数据集

文章目录一、一元线性回归二级目录三级目录一、一元线性回归任务要求:对“父母子女身高”数据集(高尔顿数据集)进行线性回归分析(简化的做法可选取父子身高数据为X-Y),用excel计算线性回归方程和相关系数、方差、p值等,判断回归方程是否成立?打开老师给的父母子女身高数据集数据—>数据分析—>回归选取父子身高数据为X-Y父亲身高为X,子女身高为Y删除重复数据的线性回归(422个样本)线性拟合图第一个是对模型的解释程度,需要注意是R-Square,这是一个衡量线性回归模

2021-03-20 13:00:03 1592

原创 新手入门Android Studio

文章目录一、安装Android Studio二级目录三级目录一、安装Android Studio官网下载即可官网https://developer.android.google.cn/studio/具体的安装教程,本人也是参考此篇博客:android studio的安装,史上最详细(超多图)!!二级目录三级目录...

2021-03-11 20:48:51 109

原创 简单的Excel对数据集的(一元)线性回归

文章目录一、Excel安装二、Excel做线性回归一、事先准备工作二、对数据做线性回归三、总结四、参考资料一、Excel安装这里使用的是同学向老师要来的Excel 2016下载地址:https://pan.baidu.com/share/init?surl=xHWFi96xoHnb6CdtA31xZA提取码:rirp二、Excel做线性回归一、事先准备工作新建一个工作簿文件—>选项加载项里—>管理—>转到勾选上两个A,点击确定此时在数据里就有了数据分析二

2021-03-09 19:20:40 1524

原创 Ubuntu18.04安装ROS,运行小海龟

文章目录一、ROS一、什么是ROS?二、ROS产生、发展和壮大的原因和意义?二、Ubuntu安装ROS参考文献一、ROS一、什么是ROS?ROS是用于编写机器人软件程序的一种具有高度灵活性的软件架构。它包含了大量工具软件、库代码和约定协议,旨在简化跨机器人平台创建复杂、鲁棒的机器人行为这一过程的难度与复杂度。ROS设计者将ROS表述为“ROS = Plumbing + Tools + Capabilities + Ecosystem”,即ROS是通讯机制、工具软件包、机器人高层技能以及机器人生态系

2021-03-04 12:22:10 1816

原创 STM32的PWM和DAC练习

文章目录一、 用STM32F103输出一路PWM波形一、PWM二、实现操作使用Keil的示波器仿真三级目录参考资料一、 用STM32F103输出一路PWM波形一、PWM1、概念脉宽调制(PWM)是利用微处理器的数字输出来对模拟电路进行控制的一种非常有效的技术,广泛应用在从测量、通信到功率控制与变换的许多领域中。2、特点PWM的一个优点是从处理器到被控系统信号都是数字形式的,无需进行数模转换,让信号保持为数字形式可将噪声影响降到最小。噪声只有在强到足以将逻辑1改变为逻辑0或将逻辑0改变为逻辑1时,

2021-01-07 22:05:05 513

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除