fpga之状态机

在fpga中有很多时序电路,状态按照一定规律进行转换。稍微复杂一点的电路逻辑就容易乱,代码就会很难写。为了理清逻辑,我们常常就会用到状态机,状态机通过控制各个状态的跳转来控制流程,使得整个代码看上去更加清晰易懂,在控制复杂流程的时候,状态机优势明显。

一、状态机的分类

(1)Mealy状态机

组合逻辑的输出不仅取决于当前状态,还取决于输入状态。
Mealy状态机模型

(2)Moore状态机

组合逻辑的输出只取决于当前状态。
Moore状态机模型

(3)三段式状态机

三段式分三种形式:一段式、二段式、三段式
实际

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

Jeffery_fan

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值