笔试面试 20届紫光展锐数字IC

题目描述;
以clk为基准,设计一个秒计数器,在指定的计数值产生中断,实时输出当前的秒计数值
(1) clk时钟输入,频率为32.768KHz
(2) rst_n是异步复位输入,低电平有效,复位整个系统,为高则整个系统开始工作,其上升沿易同步于clk
(3) statr是启动信号,一个clk时钟周期的正脉冲,同步于clk。alarm[7:0]是配置信息,单位为秒,同步于clk
(4) 工作模式:收到start后,秒计数器sec_cnt从零开始以秒为单位来计数,计数到alarm[7:0]指定的数值时,产生一个int pulse(一个时钟周期的正脉冲),秒计数器回0并停止

module timer(
             input                  clk,
             input                  rst_n,
             input                  start,
             input          [7:0]   alarm,
             output   reg   [31:0]  sec_cnt,
             output                 int_pulse
             );
             
      
reg     [14:0]     one_sec_cnt;     //时钟翻转计数器
reg               state;            //计数状态
parameter         TIMES=32767;      //时钟计数器计数值,计数32767次
  • 6
    点赞
  • 52
    收藏
    觉得还不错? 一键收藏
  • 8
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 8
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值