Verilog刷题HDLBits——Conwaylife

Verilog刷题HDLBits——Conwaylife

题目描述

Conway’s Game of Life is a two-dimensional cellular automaton.

The “game” is played on a two-dimensional grid of cells, where each cell is either 1 (alive) or 0 (dead). At each time step, each cell changes state depending on how many neighbours it has:

  • 0-1 neighbour: Cell becomes 0.
  • 2 neighbours: Cell state does not change.
  • 3 neighbours: Cell becomes 1.
  • 4+ neighbours: Cell becomes 0.

The game is formulated for an infinite grid. In this circuit, we will use a 16x16 grid. To make things more interesting, we will use a 16x16 toroid, where the sides wrap around to the other side of the grid. For example, the corner cell (0,0) has 8 neighbours: (15,1), (15,0), (15,15), (0,1), (0,15), (1,1), (1,0), and (1,15). The 16x16 grid is represented by a length 256 vector, where each row of 16 cells is represented by a sub-vector: q[15:0] is row 0, q[31:16] is row 1, etc. (This tool accepts SystemVerilog, so you may use 2D vectors if you wish.)

  • load: Loads data into q at the next clock edge, for loading initial state.
  • q: The 16x16 current state of the game, updated every clock cycle.

The game state should advance by one timestep every clock cycle.

John Conway, mathematician and creator of the Game of Life cellular automaton, passed away from COVID-19 on April 11, 2020.

给出的第一种解法是可以正常运行的,但是我个人认为比较麻烦,我想到的是第二种解法,通过4位二进制数表示行列,15+1时溢出变回0,0-1时通过补码变为15,从而完成在边界地区状态的计算,但是代码未能成功运行,我水平有限没能修改成功,希望有兴趣的兄弟可以看看修改一下,如果运行成功了,希望能在评论区告知我是哪里有问题。

代码

// 能成功运行的解法,也是大多数人的解法
module top_module(
    input clk,
    input load,
    input [255:0] data,
    output [255:0] q ); 
    reg[3:0] sum;
    always@(posedge clk)
        begin
            if(load)
                q<=data;
            else
                begin
                    for(int i=0;i<=255;i++)
                        begin
                            if(i==0)
                                sum=q[240]+q[241]+q[255]+q[16]+q[17]+q[1]+q[31]+q[15]; 
                            else if(i==15)
                                sum=q[30]+q[31]+q[16]+q[14]+q[0]+q[254]+q[255]+q[240];
                            else if(i==240)
                                sum=q[15]+q[0]+q[1]+q[255]+q[241]+q[239]+q[224]+q[225];
                            else if(i==255)
                                sum=q[0]+q[14]+q[15]+q[254]+q[240]+q[239]+q[238]+q[224];
                            else if(i>0&&i<15)
                                sum=q[i+16]+q[i+15]+q[i+17]+q[i-1]+q[i+1]+q[i+240]+q[i+239]+q[i+241];
                            else if(i>240&&i<255)
                                sum=q[i-1]+q[i+1]+q[i-16]+q[i-15]+q[i-17]+q[i-240]+q[i-239]+q[i-241];
                            else if(i%16==15)
                                sum=q[i+16]+q[i+15]+q[i-1]+q[i+1]+q[i-15]+q[i-17]+q[i-16]+q[i-31];
                            else if(i%16==0)
                                sum=q[i+31]+q[i+16]+q[i+17]+q[i+15]+q[i+1]+q[i-1]+q[i-16]+q[i-15];
                            else
                                sum=q[i-1]+q[i+1]+q[i+16]+q[i+15]+q[i+17]+q[i-16]+q[i-15]+q[i-17];
                            case(sum)
                                4'd2:
                                    q[i]<=q[i];
                                4'd3:
                                    q[i]<=1;
                                default:q[i]<=0;
                            endcase
                        end
                end
        end

endmodule

// 我的另一种思路,但是代码还存在问题,水平有限还没能解决
// 如果有兄弟改进了我的代码成功运行了,希望能评论告知我是哪里有问题

module top_module(
    input clk,
    input load,
    input [255:0] data,
    output [255:0] q ); 
    
    reg[3:0] count;
    reg[3:0] row,column;
    reg[255:0] ul;// 左上
    reg[255:0] up;// 正上
    reg[255:0] ur;// 右上
    reg[255:0] left;// 正左
    reg[255:0] right;// 正右
    reg[255:0] dl;// 左下
    reg[255:0] down;// 正下
    reg[255:0] dr;// 右下
    always@(posedge clk)
        begin
            if(load)
                q<=data;
            else
                begin
                    for(int i=0;i<256;i++)// 遍历每一个q[i],算出他所在的行列
                        begin
                            row<=i/16;
                            column<=i%16;
                            ul<=(row+4'b0001)*16+column+4'b1111;// 通过补码方式算出他周围8个格子对应的i
                            up<=(row+4'b0001)*16+column;		// 15+1第5位溢出直接变回0
                            ur<=(row+4'b0001)*16+column+4'b0001;// 0-1通过补码方式计算得到15
                            left<=(row)*16+column+4'b1111;
                            right<=(row)*16+column+4'b0001;
                            dl<=(row+4'b1111)*16+column+4'b1111;
                            down<=(row+4'b1111)*16+column;
                            dr<=(row+4'b1111)*16+column+4'b0001;
                            count<=q[ul]+q[up]+q[ur]+q[left]+q[right]+q[dl]+q[down]+q[dr];
                            case(count)
                                4'b0010:q[i]<=q[i];
                                4'b0011:q[i]<=1;
                                default:q[i]<=0;
                            endcase
                        end
                end
        end

endmodule

结果

在这里插入图片描述

  • 2
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值