用Verilog实现二维细胞自动机——康威生命游戏(Conway's Game of Life)

在一个二维的棋盘上,每个格子有存活(1)和死亡(0)两种状态。在每个时间周期,每个格子会根据他的8个邻居来决定自己下一个周期的状态:

  • 0-1个邻居:人口稀少,死亡(0);
  • 2个邻居:保持原状态;
  • 3个邻居:繁衍(1);
  • 4+个邻居:人口过多,死亡(0);

这个游戏原来是设计在一个无限的网格里,但是在这个电路中,我们设计为16*16的网格,为了让这次练习更有趣,我们把网格边界拓扑环绕到对立的另一边。举个例子,(0,0)的8个邻居分别是(15,1), (15,0), (15,15), (0,1), (0,15), (1,1), (1,0), 和 (1,15).

我们把16*16的网格设置为一个256位的向量,每一行表示为q[15:0]、q[31:16]等等。

  • load:加载数据到q中作为初始状态。
  • q:16*16网格的当前状态,在每个时钟周期更新。

设计要点:

  1. 需要两个棋盘,一个存储现态,另一存储次态。
  2. 不能立即更新,需要现态中每个格子的8个邻居,不能将次态立即赋值给每个格子的现态。

设计思路:通过for循环语句遍历每一个格子,计算出每个格子的邻居数量,然后得到下一状态q_next。在每个边界应该分情况考虑,四个角和四个边的格子都有特殊的8个邻居。在遍历完256个格子之后将q_next的值赋给q,我

  • 2
    点赞
  • 13
    收藏
    觉得还不错? 一键收藏
  • 4
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值