HDLBITS Conwaylife

HDLBITS Conwaylife

module top_module(
input clk,
input load,
input [255:0] data,
output [255:0] q );
reg [15:0] q_next[15:0];
reg [15:0] q_c[15:0];

int m,n;
always @(posedge clk)
    begin
        if(load)
            begin
  			    for (m=0;m<=15;m++)
      				for(n=0;n<=15;n++)
                        q_c[m][n]<=data[m*16+n];   
            end
        
        else
            begin
     	  	 q_c<=q_next;
         	end
    end
reg [3:0] sum;
always @(*)
    begin
        for(m=0;m<=15;m++)
            for(n=0;n<=15;n++)
                begin
                    if(m==0&&n==0)
                        sum=q_c[15][1]+q_c[15][0]+q_c[15][15]
                        +q_c[0][1]+q_c[0][15]+q_c[1][1]+q_c[1][0]+q_c[1][15];
                    else if(m==15&&n==15)
                        sum=q_c[14][14]+q_c[14][15]+q_c[14][0]
                        +q_c[15][14]+q_c[15][0]+q_c[0][14]+q_c[0][15]+q_c[0][0];
                    else if(m==0&&n==15)
                        sum=q_c[15][14]+q_c[15][15]+q_c[15][0]
                        +q_c[1][0]+q_c[1][15]+q_c[1][14]+q_c[0][14]+q_c[0][0];
                    else if(m==15&&n==0)
                        sum=q_c[14][15]+q_c[14][0]+q_c[14][1]
                        +q_c[15][15]+q_c[15][1]+q_c[0][15]+q_c[0][1]+q_c[0][0];
                    else if(m==0)//
                        sum=q_c[15][n-1]+q_c[15][n]+q_c[15][n+1]
                        +q_c[m][n-1]+q_c[m][n+1]+q_c[m+1][n-1]+q_c[m+1][n]+q_c[m+1][n+1];
                    else if(m==15)
                         sum=q_c[14][n-1]+q_c[14][n]+q_c[14][n+1]
                        +q_c[15][n-1]+q_c[15][n+1]+q_c[0][n-1]+q_c[0][n]+q_c[0][n+1];
                    else if(n==0)
                        sum=q_c[m-1][15]+q_c[m][15]+q_c[m+1][15]
                        +q_c[m-1][0]+q_c[m+1][0]+q_c[m-1][1]+q_c[m][1]+q_c[m+1][1];
                    else if(n==15)
                        sum=q_c[m-1][14]+q_c[m][14]+q_c[m+1][14]
                        +q_c[m-1][15]+q_c[m+1][15]+q_c[m-1][0]+q_c[m][0]+q_c[m+1][0];
                    else
                        sum=q_c[m-1][n-1]+q_c[m-1][n]+q_c[m-1][n+1]
                        +q_c[m][n-1]+q_c[m][n+1]+q_c[m+1][n-1]+q_c[m+1][n]+q_c[m+1][n+1];            
                    case(sum)
                        2:q_next[m][n]=q_c[m][n];
                        3:q_next[m][n]=1;
                        default:q_next[m][n]=0;     
        			endcase
                end
    end
genvar i,j;
generate
    for (i=0;i<=15;i++) begin :line
        for(j=0;j<=15;j++) begin :list
            assign q[i*16+j]=q_c[i][j];   
        end
    end
endgenerate

endmodule

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值