HDLBits学习笔记——More Circuits

1.Rule 90

题目:

Rule 90 is a one-dimensional cellular automaton with interesting properties.

The rules are simple. There is a one-dimensional array of cells (on or off). At each time step, the next state of each cell is the XOR of the cell's two current neighbours. A more verbose way of expressing this rule is the following table, where a cell's next state is a function of itself and its two neighbours:

Left      CenterRightCenter's next state
1110
1101
1010
1001
0111
0100
0011
0000

(The name "Rule 90" comes from reading the "next state" column: 01011010 is decimal 90.)
In this circuit, create a 512-cell system (q[511:0]), and advance by one time step each clock cycle. The load input indicates the state of the system should be loaded with data[511:0]. Assume the boundaries (q[-1] and q[512]) are both zero (off).

思路:

可以考虑用q与q的移位做异或。

代码:

module top_module(
    input clk,
    input load,
    input [511:0] data,
    output [511:0] q ); 
    always@(posedge clk) begin
        if(load)
            q <= data;
        else
            q <= {1'b0,q[511:1]}^{q[510:0],1'b0};
    end
endmodule

2.Rule 110

题目:

Rule 110 is a one-dimensional cellular automaton with interesting properties (such as being Turing-complete).

There is a one-dimensional array of cells (on or off). At each time step, the state of each cell changes. In Rule 110, the next state of each cell depends only on itself and its two neighbours, according to the following table:

Left      CenterRightCenter's next state
1110
1101
1011
1000
0111
0101
0011
0000

思路:

与Rule 90类似,但具有不同的运算规则。通过真值表绘制卡诺图可以得到逻辑表达式。

C_{next}=C\bar{R}+\bar{L}R+\bar{C}R+\bar{L}C

LC\RCnext
01
0001
0111
1110
1001

代码:

module top_module(
    input clk,
    input load,
    input [511:0] data,
    output [511:0] q
); 
    reg [511:0] q_l,q_r;
    assign q_l = {1'b0,q[511:1]};
    assign q_r = {q[510:0],1'b0};
    always@(posedge clk) begin
        if(load)
            q <= data;
        else 
            q <= (q & ~q_r) | (~q_l & q_r) | (~q & q_r) | (~q_l & q);
    end
endmodule

3.conwaylife

题目:

Conway's Game of Life is a two-dimensional cellular automaton.

The "game" is played on a two-dimensional grid of cells, where each cell is either 1 (alive) or 0 (dead). At each time step, each cell changes state depending on how many neighbours it has:

  • 0-1 neighbour: Cell becomes 0.
  • 2 neighbours: Cell state does not change.
  • 3 neighbours: Cell becomes 1.
  • 4+ neighbours: Cell becomes 0.

The game is formulated for an infinite grid. In this circuit, we will use a 16x16 grid. To make things more interesting, we will use a 16x16 toroid, where the sides wrap around to the other side of the grid. For example, the corner cell (0,0) has 8 neighbours: (15,1), (15,0), (15,15), (0,1), (0,15), (1,1), (1,0), and (1,15). The 16x16 grid is represented by a length 256 vector, where each row of 16 cells is represented by a sub-vector: q[15:0] is row 0, q[31:16] is row 1, etc. (This tool accepts SystemVerilog, so you may use 2D vectors if you wish.)

  • load: Loads data into q at the next clock edge, for loading initial state.
  • q: The 16x16 current state of the game, updated every clock cycle.

The game state should advance by one timestep every clock cycle.

John Conway, mathematician and creator of the Game of Life cellular automaton, passed away from COVID-19 on April 11, 20

思路:

本题算是HDLBits里难度较高的题目了,而且由于代码的条件判断分支比较多,非常考验耐心。

解题思路是构造二维数组,将数据加载到二维数组中,再用两个循环嵌套进行运算得到下一个状态值,最后把二维数组还原为一维数组。

代码:

module top_module(
    input clk,
    input load,
    input [255:0] data,
    output [255:0] q ); 
    reg [15:0] q_temp [15:0];
    reg [15:0] q_next [15:0];
    wire [3:0] sum;
    initial 
        sum = 4'b0;
    //将数据存入二维数组中
    always@(posedge clk) begin
        if(load) begin
            for(int i=0;i<16;i++) begin
                for(int j=0;j<16;j++) begin
                    q_temp[i][j] <= data[i*16+j];
                end
            end
        end
        else
            q_temp <= q_next;
    end
    //
    always@(*) begin
            for(int i=0;i<16;i++) begin
                for(int j=0;j<16;j++) begin
                    if(i==0&&j==0) //数组左上角
                        sum = q_temp[0][1]+q_temp[1][0]+q_temp[1][1]+q_temp[1][15]+q_temp[0][15]+q_temp[15][15]+q_temp[15][0]+q_temp[15][1];
                    else if(i==0&&j==15)//数组右上角
                        sum = q_temp[15][15]+q_temp[15][0]+q_temp[15][14]+q_temp[0][14]+q_temp[1][14]+q_temp[1][15]+q_temp[1][0]+q_temp[0][0];
                    else if(i==15&&j==0)//数组左下角
                        sum = q_temp[15][1]+q_temp[14][1]+q_temp[14][0]+q_temp[14][15]+q_temp[15][15]+q_temp[0][15]+q_temp[0][0]+q_temp[0][1];
                    else if(i==15&&j==15)//数组右下角
                        sum = q_temp[15][0]+q_temp[14][0]+q_temp[14][15]+q_temp[14][14]+q_temp[15][14]+q_temp[0][14]+q_temp[0][15]+q_temp[0][0];
                    else if(i==0)//第一行
                        sum = q_temp[i][j-1]+q_temp[i][j+1]+q_temp[i+1][j-1]+q_temp[i+1][j]+q_temp[i+1][j+1]+q_temp[15][j-1]+q_temp[15][j]+q_temp[15][j+1];
                    else if(i==15)//最后一行
                        sum = q_temp[15][j-1]+q_temp[15][j+1]+q_temp[14][j-1]+q_temp[14][j]+q_temp[14][j+1]+q_temp[0][j-1]+q_temp[0][j]+q_temp[0][j+1];
                    else if(j==0)//第一列
                        sum = q_temp[i-1][j]+q_temp[i-1][j+1]+q_temp[i][j+1]+q_temp[i+1][j]+q_temp[i+1][j+1]+q_temp[i-1][15]+q_temp[i][15]+q_temp[i+1][15];
                    else if(j==15)//最后一列
                        sum = q_temp[i-1][j-1]+q_temp[i-1][j]+q_temp[i-1][0]+q_temp[i][j-1]+q_temp[i][0]+q_temp[i+1][j-1]+q_temp[i+1][j]+q_temp[i+1][0];
                    else //中间
                        sum = q_temp[i-1][j]+q_temp[i-1][j-1]+q_temp[i][j-1]+q_temp[i+1][j-1]+q_temp[i+1][j]+q_temp[i+1][j+1]+q_temp[i][j+1]+q_temp[i-1][j+1];
                    case(sum)
                        4'd2:    q_next[i][j] = q_temp[i][j];
                        4'd3:    q_next[i][j] = 1'b1;
                        default: q_next[i][j] = 1'b0;
                    endcase
                end
            end
    end
    //将q_next中存储的值提取到一维数组q中
    genvar i,j;
    generate
        for(i=-0;i<16;i++) begin:row
            for(j=0;j<16;j++) begin:line
               assign  q[i*16+j] = q_temp[i][j];
            end
        end
    endgenerate
endmodule

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值