Verilog刷题HDLBits——Cs450/history shift

Verilog刷题HDLBits——Cs450/history shift

题目描述

在这里插入图片描述

代码

module top_module(
    input clk,
    input areset,

    input predict_valid,
    input predict_taken,
    output [31:0] predict_history,

    input train_mispredicted,
    input train_taken,
    input [31:0] train_history
);
    reg [31:0] shift_reg;
    always@(posedge clk or posedge areset)
        begin
            if(areset)
                shift_reg<=31'b0;
            else if(train_mispredicted)
                shift_reg<={train_history[30:0],train_taken};
            else if(predict_valid)
                shift_reg<={shift_reg[30:0],predict_taken};
            else
                shift_reg<=shift_reg;
        end
    
    assign predict_history = shift_reg;

endmodule

结果

在这里插入图片描述

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值