Verilog数字系统设计教程[第4版]夏宇闻——第三部分练习六

Verilog数字系统设计教程[第4版]夏宇闻——第三部分练习六

测试仿真流程

测试仿真环境为win系统下的quartus prime + modelsim
测试仿真流程参照我之前的教程
Verilog数字系统设计教程第4版夏宇闻——第三部分练习一

模块源代码

//----------tryfunct.v----------
module tryfunct(clk,n,result,reset);
output[31:0] result;
input[3:0] n;
input reset,clk;
reg[31:0] result;

always@(posedge clk)
	begin
		if(!reset)
			result <= 0;
		else
			begin
				result <= n* factorial(n)/((n*2)+1);
			end
	end
	
function[31:0] factorial;				//函数定义,返回的是一个32位的数
input[3:0] operand;						//输入只有一个4位的操作数
reg[31:0] index;							//函数内部计数用中间变量

begin
	factorial = operand?1:0;			//先定义操作数为零时函数的输出为零,不为零时为1
	for(index=2;index<=operand;index=index+1)
		factorial=index*factorial;		//表示阶乘的算术迭代运算
end
endfunction

endmodule

测试模块代码

//----------tryfunct.vt----------
`timescale 1 ns/ 100 ps
`define clk_cycle 50

module tryfunct_vlg_tst();
reg clk;
reg [3:0] n,i;
reg reset;                                     
wire [31:0]  result; 

initial
	begin
		clk = 0;
		n = 0;
		reset = 1;
		#100 reset = 0;
		#100 reset = 1;
		for(i=0;i<=15;i=i+1)
			begin
				#200 n=i;
			end
		#100 $stop;
	end
	
always #`clk_cycle clk = ~clk;
               
tryfunct i1 (
	.clk(clk),
	.n(n),
	.reset(reset),
	.result(result)
);
                                                 
endmodule

结果波形

在这里插入图片描述

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值