Verilog数字系统设计教程第三部分练习六

提示:文章写完后,目录可以自动生成,如何生成可参考右边的帮助文档


前言

函数调用的简单示范。采用同布时钟触发运算的执行,每个clk时钟周期都会执行一次运算,并且在测试模块中,通过调用系统任务$display及在时钟的下降沿显示每次计算的结果。


一、函数调用的简单示范

1.1 程序语句:

module tryfunction(clk, n, reset, result);
	input [3:0]n;
	input clk, reset;
	output [31:0]result;
	reg [31:0]result;

	always @(posedge clk)
		begin
			if(!reset)
				result <= 0;
			else
				begin 
					result <= n * factorial(n)/((n * 2) + 1);
				end
		end

	function [31:0]factorial;
		input [3:0]operand;
		reg [31:0]index;
		begin
			factorial = operand ? 1 : 0;
			for(index 
  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值