Questasim的基本运用

一、进入Questasim界面(vsim &)

在Linux系统安装好Questasim软件好,只需在Terminal输入命令即可:
命令:vsim &    (&表示不占用当前Terminal,在后台运行vsim命令)
在这里插入图片描述
进入软件界面后,可适当调节各部分字体大小,先通过上图所示的Tools——>Edit Preferences操作进入如下界面,然后操作如下:
在这里插入图片描述
点击choose选项后进入如下操作:
      在这里插入图片描述
效果图如下所示:
      在这里插入图片描述

二、项目仿真

2.1.创建工程库(Library)

File——>New——>Library,具体操作如下:
   在这里插入图片描述
  在这里插入图片描述
在这里插入图片描述

2.2.创建工程(Project)

File——>New——>Project,具体操作如下:
在这里插入图片描述
点击Project后出现下面框图,定义工程名,之后点击OK添加文件
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
找到要添加的TB和DUT文件后,然后点击open键,添加选中的文件,
在这里插入图片描述
在这里插入图片描述
文件添加后,进行编译,任意选中一个文件,右键compile——>compile all,
在这里插入图片描述
编译通过,如下所示:
在这里插入图片描述
如果编译出现错误,则status一栏的√将变为×符号,此时双击×符号会给出错误提示,双击左侧文件名则会显示文件代码,下列示例因为无错误,故不会提示错误,但任然会弹出状态框。
在这里插入图片描述
在这里插入图片描述
文件编译通过后,进入Library查看库文件,之后进行仿真,
在这里插入图片描述
在这里插入图片描述
进行仿真后弹出sim框,如下所示:
在这里插入图片描述
分别确认TB和DUT所对应的文件实例,添加对应波形,
在这里插入图片描述

2.3.生成波形(Add wave)

生成DUT波形和TB波形:
首先将各自的信号进行添加,然后选中所有信号,生成波形。
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
所有信号都添加后,可选中所有信号,run all生成波形;波形的结束以代码中的$finish;为仿真结束终点。也手动可设置仿真时间。
在这里插入图片描述
全屏显示:
在这里插入图片描述

2.4.波形设置

2.4.1.颜色设置(Properties)

选中信号,右键Properties,设置颜色
在这里插入图片描述
先点击color后,弹出颜色选择框,
在这里插入图片描述
在这里插入图片描述

2.4.2.信号分组(Group)

选中信号,右键Group,设置颜色
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
DUT分组不在赘述,效果如下:
在这里插入图片描述

2.4.3.光标插入、定位(insert cursor)

在这里插入图片描述

2.4.4.波形缩放

在这里插入图片描述

2.4.5.波形从新加载(restart)

点击restart,OK后选中要重新加载波形的信号,点击run all,弹出窗口选择no,生成波形。
在这里插入图片描述
在这里插入图片描述
结果如下:
在这里插入图片描述

  • 43
    点赞
  • 372
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 9
    评论
Questasim是一款由Mentor Graphics公司提供的高度可定制化、适用于各种数字电路仿真的工具。下面我会介绍Questasim的搭建过程。 1. 确认系统要求 在搭建Questasim之前,请确保您的系统满足以下要求: - 操作系统:Windows、Linux或UNIX。 - 电脑处理器:Intel Pentium或AMD Athlon。 - 运行内存:至少1GB RAM,建议2GB以上。 - 磁盘空间:至少4GB空闲空间,建议8GB以上。 2. 下载Questasim 您可以在Mentor Graphics官网上下载Questasim。下载后,根据提示安装Questasim软件。 3. 添加环境变量 完成安装后,请将Questasim的安装路径添加到系统环境变量中,这可以让您在任何目录下都可以使用Questasim的命令。 4. 运行Questasim 打开终端或命令行窗口,输入以下命令来启动Questasim: vsim [options] [designfiles] 其中,designfiles参数是您要仿真的设计文件。 5. 创建仿真模型 为了在Questasim中仿真您的电路设计,您需要创建一个仿真模型。这个模型应该包含您的设计文件、仿真参数和仿真器设置等信息。 6. 运行仿真 完成仿真模型的设置后,您可以通过在Questasim中输入仿真命令来启动仿真。根据仿真模型中设置的仿真参数,您可以观察电路的运行情况,并进行调试和仿真结果的分析。 综上所述,搭建Questasim需要确认系统要求、下载软件、添加环境变量、创建仿真模型和运行仿真。在完成这些步骤后,您就可以轻松地使用Questasim对数字电路进行仿真了。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 9
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

SD.ZHAI

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值