11010序列检测器Verilog代码及仿真测试文件

1.“11010序列检测器”状态转移图

 2.Verilog代码

module fsm(x,z,clk,rst,data);
input clk,rst,x;
input [20:0]data;
output reg z;
reg[2:0]state,nstate;

parameter s0 = 3'b000,//状态编码
          s1 = 3'b001,
          s2 = 3'b011,
          s3 = 3'b010,
          s4 = 3'b110;

always @(posedge clk) 
begin
    if(rst)state<=s0;
    else state<=nstate;    
end

always @(state or x) //产生次态
begin   
    case(state)
s0:if(x==1) nstate<=s1;
    else nstate<=s0;
s1:if(x==1) nstate<=s2;
    else nstate<=s0;
s2: if(x==0) nstate<=s3;
    else nstate<=s2;
s3: if(x==1) nstate<=s4;
    else nstate<=s0;
s4: if(x==0) nstate<=s0;
    else nstate<=s2;
    default:nstate <= s0;
    endcase
end
always @(state)//输出
begin
    case(state)
    s4:z=1'b1;
    default:z=1'b0;
    endcase
end
endmodule

3.testbench仿真代码

`timescale 1ns/1ps
 
 //声明定义
 module tb();
 reg clk;
 reg rst;
 reg x;
 reg[20:0] data;
 wire z;


//例化模块
fsm uut (
  .data(data),
  .x(x),
  .z(z),
  .clk(clk),
  .rst(rst)
 );
 assign x=data[20];


//产生激励
 initial begin
        clk = 0;
        rst = 1;
         #3
        rst=0;
         #20
        rst=1;
        data=21'b 1101_0110_0101_0011_01001; 
         end
     always #10 clk=~clk;
     always@(posedge clk)
     begin
  #2 data={data[19:0],data[20]};
  end
endmodule

4.仿真结果

 

  • 10
    点赞
  • 51
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
一、实验目的: 1、深入了解与掌握同步时序逻辑电路的设计过程; 2、了解74LS74、74LS08、74LS32及74LS04芯片的功能; 3、能够根据电路图连接好实物图,并实现其功能。学会设计过程中的检验与完善。 二、实验内容描述: 题目:“1 1 1”序列检测器。 原始条件:使用D触发器( 74 LS 74 )、“与”门 ( 74 LS 08 )、“或”门( 74 LS 32 )、非门 ( 74 LS 04 ),设计“1 1 1”序列检测器。 集成电路引脚图: D触发器( 74 LS 74 ) “与”门 ( 74 LS 08 ) “或........ 三、实验设计过程: 第1步,画出原始状态图和状态表。 根据任务书要求,设计的序列检测器有一个外部输入x和一个外部输出Z。输入和输出的逻辑关系为:当外部输入x第一个为“1”,外部输出Z为“0”;当外部输入x第二个为“1”,外部输出Z为“0”;当外部输入x第三个为“1”,外部输出Z才为“1”。假定有一个外部输入x序列以及外部输出Z为: 输入x: 0 1 0 1 1 1 0 1 1 1 1 0 1 输出Z: 0 0 0 0 0 1 0 0 0 1 1 0 0 要判别序列检测器是否连续接收了“111”,电路必须用不同的状态记载外部输入x的值。假设电路的初始状态为A,x输入第一个“1”,检测器状态由A装换到B,用状态B记载检测器接受了111序列的第一个“1”,这时外部输出Z=0;x输入第二个“1”,检测器状态由B装换到C,用状态C记载检测器接受了111序列的第二个“1”,外部输出Z=0;x输入第三个“1”,检测器状态由C装换到D,外部输出Z=1。然后再根据外部输入及其他情况时的状态转移,写出相应的输出。以上分析了序列检测器工作,由此可画出图7-1所示的原始状态图。根据原始状态图可列出原始状态表,如表7-2所示。 现态 次态/输出 x = 0 x = 1 A A / 0 B / 0 B A / 0 C / 0 C A / 0 D / 1 D A / 0 D / 1 (表 7-2 原始状态表) (图

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值