8 BIT SHIFT MODULE

    这个练习是module_shift的扩展。模块端口不再是单一的引脚,我们现在有了以矢量为端口的模块,你可以将连线矢量连接到模块上,而不是普通的导线。

   与Verilog中的其他地方一样,端口的向量长度不必与连接到它的连线匹配,但是这会导致向量的填充或重构。

  本练习不使用与不匹配的向量长度的连接。给定一个模块my_dff8,它有两个输入和一个输出(实现一组8d触发器)。实例化其中的三个,然后将它们链接在一起,形成一个长度为3的8位宽移位寄存器。

   另外,创建一个4对1的多路复用器(没有提供),根据sel[1:0]选择输出什么:在输入d处的值,在第一个、第二个或第三个d触发器之后。

   (本质上,sel选择多少周期来延迟输入,从0到3个时钟周期。)提供给您的模块为:模块my_dff8(输入clk,输入[7:0]d,输出[7:0]q);没有提供多路复用器。一种可能的写法是在一个总是块中加上一个case语句。

module top_module ( 
    input clk, 
    input [7:0] d, 
    input [1:0] sel, 
    output [7:0] q 
);

   // wire w_0;
    wire [7:0] w_1;
    wire [7:0] w_2;
    wire [7:0] w_3;
    my_dff8 my_dff81 ( .clk (clk), .d (d), .q (w_1));
    my_dff8 my_dff82 ( .clk (clk), .d (w_1), .q (w_2));
    my_dff8 my_dff83 ( .clk (clk), .d (w_2), .q (w_3));
    
    always @ (* )
	
        case (sel)
            2'b00: q <= d;
            2'b01: q <= w_1;
            2'b10: q <= w_2;
            2'b11: q <= w_3;
            default
        endcase 
    
endmodule

 

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值