verilog逐位进位加法器和选择进位加法器

太不容易了,刚学这个,经历了好多不明就里的报错…
加了点分析。

`timescale 1ns / 1ps

module csadd32(
    input [31:0] a,
    input [31:0] b,
    input cin,
    output [31:0] sum,
    output cout
);
    wire [31:0]carry;
    add1 add01(.a(a[0]),.b(b[0]),.cin(cin),.sum(sum[0]),.cout(carry[0]));
    add1 add02(.a(a[1]),.b(b[1]),.cin(carry[0]),.sum(sum[1]),.cout(carry[1]));
    add1 add03(.a(a[2]),.b(b[2]),.cin(carry[1]),.sum(sum[2]),.cout(carry[2]));
    add1 add04(.a(a[3]),.b(b[3]),.cin(carry[2]),.sum(sum[3]),.cout(carry[3]));
    add1 add05(.a(a[4]),.b(b[4]),.cin(carry[3]),.sum(sum[4]),.cout(carry[4]));
    add1 add06(.a(a[5]),.b(b[5]),.cin(carry[4]),.sum(sum[5]),.cout(carry[5]));
    add1 add07(.a(a[6]),.b(b[6]),.cin(carry[5]),.sum(sum[6]),.cout(carry[6]));
    add1 add08(.a(a[7]),.b(b[7]),.cin(carry[6]),.sum(sum[7]),.cout(carry[7]));

    add1 add09(.a(a[8]),.b(b[8]),.cin(carry[7]),.sum(sum[8]),.cout(carry[8]));
    add1 add10(.a(a[9]),.b(b[9]),.cin(carry[8]),.sum(sum[9]),.cout(carry[9]));
    add1 add11(.a(a[10]),.b(b[10]),.cin(carry[9]),.sum(sum[10]),.cout(carry[10]));
    add1 add12(.a(a[11]),.b(b[11]),.cin(carry[10]),.sum(sum[11]),.cout(carry[11]));
    add1 add13(.a(a[12]),.b(b[12]),.cin(carry[11]),.sum(sum[12]),.cout(carry[12]));
    add1 add14(.a(a[13]),.b(b[13]),.cin(carry[12]),.sum(sum[13]),.cout(carry[13]));
    add1 add15(.a(a[14]),.b(b[14]),.cin(carry[13]),.sum(sum[14]),.cout(carry[14]));
    add1 add16(.a(a[15]),.b(b[15]),.cin(carry[14]),.sum(sum[15]),.cout(carry[15]));

    add1 add17(.a(a[16]),.b(b[16]),.cin(carry[15]),.sum(sum[16]),.cout(carry[16]));
    add1 add18(.a(a[17]),.b(b[17]),.cin(carry[16]),.sum(sum[17]),.cout(carry[17]));
    add1 add19(.a(a[18]),.b(b[18]),.cin(carry[17]),.sum(sum[18]),.cout(carry[18]));
    add1 add20(.a(a[19]),.b(b[19]),.cin(carry[18]),.sum(sum[19]),.cout(carry[19]));
    add1 add21(.a(a[20]),.b(b[20]),.cin(carry[19]),.sum(sum[20]),.cout(carry[20]));
    add1 add22(.a(a[21]),.b(b[21]),.cin(carry[20]),.sum(sum[21]),.cout(carry[21]));
    add1 add23(.a(a[22]),.b(b[22]),.cin(carry[21]),.sum(sum[22]),.cout(carry[22]));
    add1 add24(.a(a[23]),.b(b[23]),.cin(carry[22]),.sum(sum[23]),.cout(carry[23]));

    add1 add25(.a(a[24]),.b(b[24]),.cin(carry[23]),.sum(sum[24]),.cout(carry[24]));
    add1 add26(.a(a[25]),.b(b[25]),.cin(carry[24]),.sum(sum[25]),.cout(carry[25]));
    add1 add27(.a(a[26]),.b(b[26]),.cin(carry[25]),.sum(sum[26]),.cout(carry[26]));
    add1 add28(.a(a[27]),.b(b[27]),.cin(carry[26]),.sum(sum[27]),.cout(carry[27]));
    add1 add29(.a(a[28]),.b(b[28]),.cin(carry[27]),.sum(sum[28]),.cout(carry[28]));
    add1 add30(.a(a[29]),.b(b[29]),.cin(carry[28]),.sum(sum[29]),.cout(carry[29]));
    add1 add31(.a(a[30]),.b(b[30]),.cin(carry[29]),.sum(sum[30]),.cout(carry[30]));
    add1 add32(.a(a[31]),.b(b[31]),.cin(carry[30]),.sum(sum[31]),.cout(cout));


endmodule

吼,前好几天老师发了一个循环生成语句的讲解,是我们年纪一个大神写的。看了之后也是感到积累了积累了!
上面的用了循环生成语句完全没有这么繁琐嘛!

`timescale 1ns / 1ps

module rcadd32(      //32位选择进位加法器只需调用2次16位加法器
 input [31:0]a,
 input  [31:0]b,
 input cin,
 output  [31:0]sum,
 output cout
);
wire c;
add16_select s1(.a(a[15:0]),.b(b[15:0]),.cin(cin),.sum(sum[15:0]),.cout(c));
add16_select s2(.a(a[31:16]),.b(b[31:16]),.cin(c),.sum(sum[31:16]),.cout(cout));
endmodule
 
module add16_select(      //16位加法器需要调用4次4位加法器
 input [15:0]a,
 input  [15:0]b,
 input cin,
 output  [15:0]sum,
 output cout
    );
    wire[2:0] c;
    add4_select s1(.a(a[3:0]),.b(b[3:0]),.cin(cin),.sum(sum[3:0]),.cout(c[0]));
    add4_select s2(.a(a[7:4]),.b(b[7:4]),.cin(c[0]),.sum(sum[7:4]),.cout(c[1]));
    add4_select s3(.a(a[11:8]),.b(b[11:8]),.cin(c[1]),.sum(sum[11:8]),.cout(c[2]));
    add4_select s4(.a(a[15:12]),.b(b[15:12]),.cin(c[2]),.sum(sum[15:12]),.cout(cout));
endmodule
 
module add4_select(           //4位加法器需要调用4次1位加法器
 input [3:0]a,
 input  [3:0]b,
 input cin,
 output  [3:0]sum,
 output cout
    );
    wire[2:0] c;
    add1_select s1(.a(a[0]),.b(b[0]),.cin(cin),.sum(sum[0]),.cout(c[0]));
    add1_select s2(.a(a[1]),.b(b[1]),.cin(c[0]),.sum(sum[1]),.cout(c[1]));
    add1_select s3(.a(a[2]),.b(b[2]),.cin(c[1]),.sum(sum[2]),.cout(c[2]));
    add1_select s4(.a(a[3]),.b(b[3]),.cin(c[2]),.sum(sum[3]),.cout(cout));
endmodule
 
module add1_select(        //1位选择加法器基于1位逐位加法器做一些优化
 input a,
 input b,
 input cin,
 output sum,
 output cout
    );
 wire s1,s2,c1,c2;
 add1 sel1( .a(a),.b(b),.cin(0),.sum(s1),.cout(c1));
 add1 sel2( .a(a),.b(b),.cin(1),.sum(s2),.cout(c2));
 assign sum=cin?s2:s1;//有进位的时候     //罗列出cin所有取值时的sum和cin,
 assign cout=cin?c2:c1;//无进位的时候     //到时候直接输出即可
endmodule

大致分析一下这个选择进位加法器。
这个选择进位加法器,实现其的基础是一位选择进位加法器。
整个32位选择进位加法器,是由两个16位选择进位加法器实现的。
这16位选择进位加法器,是由四个四位选择进位加法器实现的。
这四位选择进位加法器,是由四个一位选择进位加法器实现的。
这一位选择进位加法器,是在内部利用两个一位普通加法器分别模拟了输入是0还是1的情况。然后根据输入的sum是什么,直接输出对应的结果。
这个在这里还看不出什么端倪,得往后看。
在add1_select中,sel1与sel2是并行的。也就是说,这两个只消耗一个add1的延时。
也就是说,add1_select只消耗一个add1的延时。
在add4_select中,四个add1_select是并行的。
也就是说,这四个add1_select,只消耗一个add1的延时。
也就是说,这add4_select,只消耗一个add1的延时。
后面以此类推。
是不是快了?

——来自一个学不会大物的小笨鸟。

  • 21
    点赞
  • 57
    收藏
    觉得还不错? 一键收藏
  • 4
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值