Verilog刷题[hdlbits] :Bcdadd100

题目:Bcdadd100

You are provided with a BCD one-digit adder named bcd_fadd that adds two BCD digits and carry-in, and produces a sum and carry-out.

  • 为您提供了一个名为bcd_fadd的BCD一位数加法器,它将两个BCD数字相加并带入,并生成一个和和并带入。

module bcd_fadd (
input [3:0] a,
input [3:0] b,
input cin,
output cout,
output [3:0] sum );

Instantiate 100 copies of bcd_fadd to create a 100-digit BCD ripple-carry adder. Your adder should add two 100-digit BCD numbers (packed into 400-bit vectors) and a carry-in to produce a 100-digit sum and carry out.

  • 实例化100个bcd_fadd副本以创建一个100位的BCD波纹进位加法器。您的加法器应该将两个100位的BCD数(打包成400位向量)和一个带入式相加,以产生一个100位的和并执行。

Hint…

  • 提示……

An instance array or generate statement would be useful here.

  • 实例数组或生成语句在这里很有用。
module top_module( 
    input [399:0] a, b,
    input cin,
    output cout,
    output [399:0] sum );
    
    reg [99:0] cout_t;
    
    bcd_fadd bcd_fadd_init_1(a[3:0],b[3:0],cin,cout_t[0],sum[3:0]);
    genvar i ;
    generate
        for(i=1;i<=99;i=i+1)begin:bcd_fadd
           bcd_fadd bcd_fadd_init_2(a[i*4+3:i*4],b[i*4+3:i*4],cout_t[i-1],cout_t[i],sum[i*4+3:i*4]);
        end
    endgenerate
    assign cout = cout_t[99];
endmodule

  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值