习题笔记 Bcdadd100

You are provided with a BCD one-digit adder named bcd_fadd that adds two BCD digits and carry-in, and produces a sum and carry-out.

module bcd_fadd (

input [3:0] a,

input [3:0] b,

input cin,

output cout,

output [3:0] sum );

Instantiate 100 copies of bcd_fadd to create a 100-digit BCD ripple-carry adder. Your adder should add two 100-digit BCD numbers (packed into 400-bit vectors) and a carry-in to produce a 100-digit sum and carry out.

//Bcdadd100
module top_module( 
    input [399:0] a, b,
    input cin,
    output cout,
    output [399:0] sum );

    wire [99:1]cin_bcd;
    wire [98:0]cout_bcd;
    assign cin_bcd=cout_bcd;

    bcd_fadd U0 (a[3:0],b[3:0],cin,cout_bcd[0],sum[3:0]);
    bcd_fadd U99 (a[399:396],b[399:396],cin_bcd[99],cout,sum[399:396]);

    genvar i;
    generate
        for (i=1;i<99;i=i+1)
        begin: BCDloop
            bcd_fadd UL(.a(a[4*i+3:4*i]),
                        .b(b[4*i+3:4*i]),
                       .cin(cin_bcd[i]),
                       .cout(cout_bcd[i]),
                        .sum(sum[4*i+3:4*i])
                       );
        end
    endgenerate


endmodule

本题还应注意generate的使用以及可变向量域的指定

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值