波形文件生成

#常用波形文件的生成

1. VCD文件

在testbench中加入:

initial begin
    $dumpfile("**.vcd");
    $dumpvars();
end

2. fsdb文件

initial begin
    $fsdbDumpfile("**.fsdb");
    $fsdbDumpvars();
end

3. shm文件

shm是Cadence公司NC verilog和Simvision支持的波形文件,实际上.shm是一个目录,其中包含了.dsn和.trn两个文件。

initial begin
    $shm_open("test_wave.shm");	
    $shm_probe(test_top,"AS");	//设置探针,test_top为顶层tb module的名称
end
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值