#常用波形文件的生成
1. VCD文件
在testbench中加入:
initial begin
$dumpfile("**.vcd");
$dumpvars();
end
2. fsdb文件
initial begin
$fsdbDumpfile("**.fsdb");
$fsdbDumpvars();
end
3. shm文件
shm是Cadence公司NC verilog和Simvision支持的波形文件,实际上.shm是一个目录,其中包含了.dsn和.trn两个文件。
initial begin
$shm_open("test_wave.shm");
$shm_probe(test_top,"AS"); //设置探针,test_top为顶层tb module的名称
end