数组 [1] —— 对比、实现和寄存器

文章转载请注明出处,加上原文链接,谢谢!https://blog.csdn.net/weixin_46959681/article/details/111075737



什么是数组

数组是 有限个同类型变量的有序排列集合 ,其数据 按顺序存储在内存的连续空间内。数组内部的每一个数据都有相对应的数组下标,我们可以 通过数组下标,直接对目标数据的内存地址进行访问(又名随机访问)线性存储
随机访问

小贴士:图片来自《我的第一本算法书》


数组和链表的对比

在链表中进行访问数据比较复杂,只能顺着链表的节点一步一步进行访问,但是添加和删除数据比较简单;而在数组中,访问数据比较简单,添加和删除比较复杂。在这里插入图片描述


数组的代码实现

一个成功的数组实现必须进行三个方面的工作:

  1. 数据类型
  2. 数组名
  3. 数组大小

例如:

int array[5] = {1,2,3,4,5}; 
//int 为整数型数据;
//array 为数组名;
//[5]表明该数组内有五个整型数据,大小一共为二十个字节。

以数组下标引出“寄存器”

演示代码:

/* array.c */
#include <stdio.h>

int main()
{
    int i = 0;
    int sum = 0;
    //初始化数组并为赋值。
    int array[5] = {1,2,3,4,5}for(i = 0; i < 5; i++){
    	//数组遍历。
		printf("%d\n", array[i]); 
		//数组求和。 
		sum = sum + array[i];  
	}
	printf("sum = %d\n", sum);
    printf("数组的第一个数据:%d\n", array[0]);
    printf("数组的第二个数据:%d\n", array[1]);
    return 0;
}

运行结果:

	sum = 15
	数组的第一个数据:1
	数组的第二个数据:2

根据运算结果,我们可以自然而然的提出一个疑问:为什么数组内的数据与数组下标之间差一位呢?

这里要牵扯到计算机的中央处理器(CPU)中的 寄存器。CPU由寄存器、控制器、运算器和时钟四大部分构成。对于计算机来讲,程序是一个静态概念,它一组指令和数据的集合(存在于各中媒介中的二进制物理文件)。程序仅仅是把寄存器当作对象来描述,寄存器可以用来暂存指令、数据等处理对象。不难发现,编写程序代码时,我们面临的仅仅是计算机的虚拟内存。在这个过程中,程序员可以专注于代码所在的“虚拟内存”,自动屏蔽了复杂的物理硬件。程序运行的第一步是将置于磁盘的可执行文件复制到内存中,CPU的控制器会将指令和数据读入寄存器,运算器获取寄存器中的数据执行运算,并返回运算结果给控制器。

CPU只能运行四种指令:存取数据、运算数据、逻辑跳转、函数调用和回退

从 程 序 运 行 层 面 观 察 , C P U 是 寄 存 器 的 集 合 体 。 \color{red}从程序运行层面观察,CPU是寄存器的集合体。 CPU

在这里插入图片描述

数组通过内存地址和索引来实现,数组中的数据元素和下标分别存储在基址寄存器和变址寄存器中。CPU会把基址寄存器和变址寄存器的值解释为实际查看的内存地址。变址寄存器的值就相当于高级编程语言中的数组索引功能。

在这里插入图片描述

小贴士:图片来自《程序是怎么跑起来的》


参考资料

  • 《我的第一本算法书》
  • 《程序是怎么跑起来的》

文章更新记录

  • 初步完成。「2020.12.12 22:07」
  • 修改了演示代码。「2020.12.13 14:41」
  • 增加了一句关于「虚拟内存」的话。「2020.12.13 20:36」
  • 修改了演示代码。「2021.1.14 15:15」
  • 修改了文章版式。 「2021.3.6 22:01」
  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
在Verilog中,可以使用存储器和寄存器实现数据的存储和处理。 存储器是用来存储数据的组件,可以通过索引来访问和修改存储器中的值。一个常见的存储器类型是RamPar,它是一个由16个8位寄存器组成的数组。在赋值语句中,需要使用索引来指定要操作的存储器位置。例如,可以使用RamPar[index] = value来给存储器赋值。 寄存器堆也是用来存储数据的组件,但和存储器不同的是,寄存器堆可以在一条赋值语句中完成赋值操作。一个常见的寄存器类型是DataReg,它是一个8位的寄存器。可以直接使用DataReg = value来对寄存器进行赋值。 在Verilog中,可以使用reg关键字来定义寄存器类型的变量。寄存器类型的变量可以通过赋值语句来改变其存储的值,类似于改变触发器的状态。寄存器类型的数据默认的初始值是不定值,即x。而且寄存器型变量只能在initial或always块内部进行赋值操作。 总结起来,存储器和寄存器堆都是用来存储数据的组件,但它们的使用方式和赋值语句有所不同。存储器需要使用索引来访问和修改值,而寄存器堆可以在一条赋值语句中完成操作。同时,寄存器类型的变量可以在initial或always块内部被赋值,而且其默认初始值为不定值x。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* *2* [Verilog中存储器——寄存器数组定义和赋值](https://blog.csdn.net/Erisay/article/details/83545178)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_1"}}] [.reference_item style="max-width: 50%"] - *3* [Verilog中寄存器和储存器的建模](https://blog.csdn.net/lizhao_yang_/article/details/124375421)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_1"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值