Verilog中memory数据类型

存储器是一个寄存器数组。存储器使用如下方式说明:

     reg [ msb: 1sb] memory1 [ upper1: lower1],
     memory2 [upper2: lower2],. . . ;
     例如:
     reg [0:3 ] MyMem [0:63]
     //MyMem为64个4位寄存器的数组。
     reg Bog [1:5]
     //Bog为5个1位寄存器的数组。
     MyMem和Bog都是存储器。数组的维数不能大于2。注意存储器属于寄存器数组类型。线网数据类型没有相应的存储器类型。
       单个寄存器说明既能够用于说明寄存器类型,也可以用于说明存储器类型。

     parameter ADDR_SIZE = 16 , WORD_SIZE = 8;
     reg [1: WORD_SIZE] RamPar [ ADDR_SIZE-1 : 0], DataReg;

     RamPar是存储器,是16个8位寄存器数组,而DataReg是8位寄存器。
       在赋值语句中需要注意如下区别:存储器赋值不能在一条赋值语句中完成,但是寄存器可以。因此在存储器被赋值时,需要定义一个索引。下例说明它们之间的不同。

     reg [1:5] Dig; //Dig为5位寄存器。
     . . .
     Dig = 5'b11011;

       上述赋值都是正确的, 但下述赋值不正确:

     reg BOg[1:5]; //Bog为5个1位寄存器的存储器。
     . . . 
     Bog = 5'b11011;

       有一种存储器赋值的方法是分别对存储器中的每个字赋值。例如:

     reg [0:3] Xrom [1:4]
     . . . 
     Xrom[1] = 4'hA;
     Xrom[2] = 4'h8;
     Xrom[3] = 4'hF;
     Xrom[4] = 4'h2;

       为存储器赋值的另一种方法是使用系统任务:
       1) $readmemb (加载二进制值)
       2) $readmemb (加载十六进制值)
       这些系统任务从指定的文本文件中读取数据并加载到存储器。文本文件必须包含相应的二进制或者十六进制数。例如:

     reg [1:4] RomB [7:1] ;
     $ readmemb ("ram.patt", RomB);

     Romb是存储器。文件“ram.patt”必须包含二进制值。文件也可以包含空白空间和注释。下面是文件中可能内容的实例。

     1101
     1110
     1000
     0111
     0000
     1001
     0011

       系统任务$readmemb促使从索引7即Romb最左边的字索引,开始读取值。如果只加载存储器的一部分,值域可以在$readmemb方法中显式定义。例如:

     $readmemb ("ram.patt", RomB, 5, 3);

     在这种情况下只有Romb[5],Romb[4]和Romb[3]这些字从文件头开始被读取。被读取的值为1101、1100和1000。
     文件可以包含显式的地址形式。

     @hex_address value
     如下实例:
     @5 11001
     @2 11010

     在这种情况下,值被读入存储器指定的地址。
       当只定义开始值时,连续读取直至到达存储器右端索引边界。例如:

     $readmemb ("rom.patt", RomB, 6);
     //从地址6开始,并且持续到1。
     $readmemb ( "rom.patt", RomB, 6, 4);
     //从地址6读到地址4。
  • 11
    点赞
  • 64
    收藏
    觉得还不错? 一键收藏
  • 3
    评论
Verilog,常见的变量类型包括integer、wire和register。integer数据类型可以存储宽度为32bit的整型数据,常用于for循环。例如,可以使用integer类型定义一个循环变量i,并在for循环使用它进行计数。\[1\] wire型变量常用于表示组合逻辑模块的输入/输出信号类型。可以使用wire类型定义单个变量,也可以使用wire类型定义多个变量。例如,可以使用wire类型定义一个变量param,或者使用wire类型定义多个总线位宽为n的变量name1、name2等。\[2\] register型变量可以用于循环变量和计数。在Verilog,可以使用reg类型定义register型变量。与integer类型相比,使用register类型进行计数更加方便。例如,可以使用reg类型定义一个变量V,并在循环使用它进行计数。\[3\] 除了这些常见的变量类型,Verilog还提供了其他类型,如memory类型,用于存储数据。但在问题没有提到这个类型,所以不在回答范围内。 #### 引用[.reference_title] - *1* [Verilog基础语法(1)之变量和数据类型](https://blog.csdn.net/m0_51965113/article/details/130428832)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insertT0,239^v3^insert_chatgpt"}} ] [.reference_item] - *2* [Verilog 三种变量类型](https://blog.csdn.net/weixin_43826242/article/details/103839273)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insertT0,239^v3^insert_chatgpt"}} ] [.reference_item] - *3* [Verilog 变量类型](https://blog.csdn.net/luoai_2666/article/details/116153352)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insertT0,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值