Verilog批量例化重复模块

有时候需要例化很多个同一个模块,如果一个一个复制粘贴太麻烦了,可以用generate语句批量例化同一个模块。

例如有一个这样的模块:

module test1(
    input    clk,
    input    rst_n,

    output    dout
);

如果要例化10个该模块,可以用generate语句:

genvar i;
generate
    for(i=0; i<10; i=i+1)begin:inst
        test1 test_inst(
            .clk      (clk),
            .rst_n    (rst_n),
            
            .dout     (data_temp[i])
        );
    end
endgenerate

 例化出来的模块名编译器自动用begin后面的名字来区分,比如上面这个例子编译后模块名叫inst[0]、inst[1]......

  • 3
    点赞
  • 12
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值