Verilog HDL 按键消抖模块

因为常用就贴在这里随时调用。

module debounce(clk,rst,key_in,key_out);
    input clk;
    input [0:0]rst;
    input [0:0]key_in;
    output key_out;
    
    reg [0:0]key_out;

    localparam frequence = 50_000_000;//这里填时钟信号的频率
    localparam _20ms = 0.02 * frequence;

    reg [0:0]key_cnt;
    reg [31:0] cnt;

    always @(posedge clk or negedge rst) begin
        if(rst == 0) begin
            key_cnt <= 0;
            cnt <= 0;
            key_out <= 0;
        end
        else begin
            if(key_cnt == 0 && key_out != key_in)
                key_cnt <= 1;
            else if(cnt == _20ms - 1) begin
                key_cnt <= 0;
                key_out <= key_in;
            end

            if(key_cnt)
                cnt <= cnt + 1'b1;
            else
                cnt <= 0;
        end
    end

endmodule

  • 1
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值