2023.11.06笔记

一、uvm中打印信息的控制
1、设置打印信息的冗余度阈值

get_report_verbosity_level( );

set_report_verbosity_level( );如果需要牵扯到层次引用,需要在connect_phase及以后的phase才能调用这个函数;不需要,则不用。

默认冗余度阈值是UVM_MEDIUM;

set_report_verbosity_level_hier ( );递归设置

set_report_id_verbosity ();

2、重载打印信息的严重性

set_report_severity_override (UVM_WARNING, UVM_ERROR);warning显示为error

set_report_severity_id_override (UVM_WARNING, UVM_ERROR);

不提供递归设置严重性重载函数

评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值