FPGA拾忆_(6):使用参数化设计实现模块的重用

代码1:小灯闪烁代码

parameter语句可用于设计文件和仿真文件中的参数不一致情况,比如为了减少仿真时间,需要将一些参数比如计数器计数值设置小一点,而实际的设计文件的目标计数值较大,此时可以通过parameter语句(放在所引用的原始设计程序)和defparam语句(放在仿真程序中的例化语句之后)来重定义参数;

此外parameter也可以用于需要多次修改某个贯穿全代码的常量时,使用parameter定义常量可以方面快捷的进行修改,还可以通过设定有意义的名字来增加可读性。

module led_twinkle(
        input wire clk,
        input wire rst,
        output reg led
            );
    
        reg [24:0] counter;
        parameter  MCNT = 25000_000-1; //宏定义参数

        always@(posedge clk or negedge rst) begin
            if(!rst)
                counter <= 0;
            else if(counter == MCNT)
                counter <= 0;
            else 
                counter <= counter+1'd1;
            
        end

        always@(posedge clk or negedge rst) begin
            if(!rst)
               led <= 0;
            else if(counter == MCNT)
                led <= !led;
            else 
                led <= led;
        end

endmodule

 代码2:四个不同频率闪烁的led代码(调用四次实现)

//function:实现四个led灯以不同频率闪烁(500ms,250ms,125ms,50ms)
//重点学习:parameter进行参数化设计实现模块的重用
//以及例化时的defparam语句来修改例化模块中的参数
module led_twinkle_4(
                input clk,
                input rst,
                output [3:0] led
);
            wire clk,
            wire rst,
            wire [3:0] led

//例化模块1,500ms
            led_twinkle     
                              
                                led_twinkle_inst0(
                                .clk(clk),
                                .rst(rst),
                                .led(led[0])
                                    );
            
//例化模块2,250ms
            led_twinkle     
            #(.MCNT(12499999)
              )  
                                led_twinkle_inst1(
                                .clk(clk),
                                .rst(rst),
                                .led(led[1])
                                   );


 //例化模块3,125ms    
            led_twinkle     led_twinkle_inst2(
                                .clk(clk),
                                .rst(rst),
                                .led(led[2])
                                    ); 
defparam led_twinkle_inst2.MCNT ==6249999
                                    
//例化模块4,50ms    
            led_twinkle     led_twinkle_inst2(
                                .clk(clk),
                                .rst(rst),
                                .led(led[3])
                                    ); 

 defparam led_twinkle_inst2.MCNT ==2500000-1;

endmodule

  • 10
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值