FPGA编程基础(一)--参数传递与寄存器使用

一、参数映射

参数映射的功能就是实现参数化元件。所谓的”参数化元件“就是指元件的某些参数是可调的,通过调整这些参数从而可实现一类结构类似而功能不同的电路。在应用中,很多电路都可采用参数映射来达到统一设计,如计数器、分频器、不同位宽的加法器以及不同刷新频率的VGA视频接口驱动电路等。

参数传递

参数传递就是在编译时对参数重新赋值而改变其值。传递的参数是子模块中定义的parameter,其传递方法有下面两种。

  • 时钟”#“符号
在同一模块中使用”#“符号。参数赋值的顺序必须与原始模块中进行参数定义的顺序相同,并不是一定要给所有的参数都赋予新值,但不允许跳过任何一个参数,即使是保持不变的值也要写在相应的位置。
module #(parameter1, parameter2) inst_name(port_map);
module_name #(.parameter_name(para_value), .parameter_name(para_value)) inst_name(port_map);
例:通过”#“字符实现一个模值可调的加1计数器
module cnt(
		input clk,
    input rst,
    output reg[15:0] cnt_o
    );
    //定义参数化变量
    parameter[15:0] Cmax = 1024;
    
		always @(posedge clk or negedge rst) begin
				if(!rst)
					cnt_o <= 0;
				else
					if(cnt_o == Cmax)
						cnt_o <= 0;
					else
						cnt_o <= cnt_o + 1;
		end

endmodule
module param_counter(
		input clk,
		input rst,
		output [15:0] cnt_o
    );
    
    //参数化调用,利用#符号将计数器的模值10传入被调用模块
    cnt #10 inst_cnt(
    		.clk(clk),
    		.rst(rst),
    		.cnt_o(cnt_o)
    		);
endmodule
  • 2
    点赞
  • 20
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值