Modelsim仿真基本流程-以半加器的设计为例

1、打开modelsim,创建新项目,选择项目保存位置,输入项目名称test1,点击OK。注意不要出现中文。

2、弹出对话框,选择新建文件

3、新建源文件,选择文件类型为Verilog,输入文件名half_add,OK。

4、新建测试文件test_bench,以顶层文件名后加_tb命名。

5、双击half_add.v,编辑源文件。

6、双击half_add_tb.v,编辑测试文件。initial中的代码用于初始化信号,只执行一遍,always中的代码则循环执行,用于产生半周期为T的信号b。

7、编译所有文件,并查看错误信息。

8、点击Simulation,start simulation,启动仿真,选择测试文件half_add_tb,OK。

9、右击添加要查看波形的信号。

10、设置仿真时间,默认100ns

11、点击运行按钮

,查看100ns波形,也可多次点击该按钮,查看更长时间的波形,按照_tb代码对照波形。

  • 2
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值