从零开始的VHDL学习笔记1

从零开始的VHDL学习笔记

第一讲:VHDL程序的基本结构

一个VHDL设计的基本单元由实体说明(Entity Declaration) 和构造体(Architecture Body)组成.

实体部分示例代码如下:

ENTITY mux(实体名:一定与文件名一致) IS
PORT 
  (端口名:端口方向 端口类型;
  ...
  );
END mux(实体名);

结构体部分示例代码如下

ARCHITECTURE connect(用户自取结构体名) OF mux(实体名) IS
            ——
   “结构体内容,此处省略”
            ——
END connect
  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值