【学习记录】找最低位1/模块多次例化

一、找最低位1
要求:找出Nbit数据a中最低位1所在的位置,用onehot形式data_onehot表示
假设a=1010_0100

  1. 将a减1,则可得最低位1后面所有数据为0的b,b=1010_0011
  2. 将b取反,得c,c=0101_1100
  3. 将a与c进行与操作,则可得 a&c = 0000_0100,即为onehot形式找出来a的最低bit的1

二、模块多次例化
  module A#(parameter )();

  多次例化上述模块,需要使用generate for,not for

generate for(genevar i=0; ……)begin:inst
	A#() u_A();
endgenerate

【注】要使用genevar i=……,not int

也可以使用数组的形式

A#()
u_A [ N:0 ] (
);
例化N+1
  • 7
    点赞
  • 11
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值