【仲裁器】轮询仲裁round-robin,rr

  • 起因:在多主单从的设计中,当多个源端同时发起传输请求时,需要仲裁器根据优先级来判断响应哪一个源端。
  • 轮询仲裁:各个源端优先级相同,当其同时发起请求时,依次进行响应。

电路图

在这里插入图片描述

代码

module rr_arb(
  input  clk,
  input  rst_n,

  input  req1,
  input  req2,
  input  req3,
  input  req4,

  input  busy,
  output reg [3:0] nx_arb_gnt
);
localparam  S1=4'b0001,
      S2=4'b0010,
      S3=4'b0100,
      S4=4'b1000;
reg [3:0] cur_arb_gnt_r;
wire [3:0] cur_arb_gnt;
always @(posedge clk or negedge rst_n) begin
  if (~rst_n) begin
    cur_arb_gnt_r <= S1; // S1
  end
  else if (~busy) begin
    cur_arb_gnt_r <= nx_arb_gnt;
  end
end
assign cur_arb_gnt = cur_arb_gnt_r;
always @(*) begin
  if (~busy) begin
    case(cur_arb_gnt)    //循环仲裁
      S1:begin 
        case(1'b1)
          req2:nx_arb_gnt = S2;
          req3:nx_arb_gnt = S3;
          req4:nx_arb_gnt  = S4;
          req1:nx_arb_gnt = S1;
          default:nx_arb_gnt = S1;
        endcase
      end
      S2:begin 
        case(1'b1)
          req3:nx_arb_gnt = S3;
          req4:nx_arb_gnt = S4;
          req1:nx_arb_gnt = S1;
          req2:nx_arb_gnt = S2;
          default:nx_arb_gnt = S2;
        endcase
      end
      S3:begin 
        case(1'b1)
          req4:nx_arb_gnt = S4;
          req1:nx_arb_gnt = S1;
          req2:nx_arb_gnt = S2;
          req3:nx_arb_gnt = S3;
          default:nx_arb_gnt = S3;
        endcase
      end
      S4:begin
        case(1'b1)
          req1:nx_arb_gnt = S1;
          req2:nx_arb_gnt = S2;
          req3:nx_arb_gnt = S3;
          req4:nx_arb_gnt = S4;
          default:nx_arb_gnt   = S4;
      endcase
    end
    default: nx_arb_gnt = S1;
    endcase
  end
  else begin
    nx_arb_gnt = cur_arb_gnt;
  end
end
endmodule
  • 0
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Round-robin仲裁器是一种常见的仲裁器模块,用于解决多个模块竞争同一资源的问题。它的工作原理是按照固定的顺序轮流选择模块来占用资源,以保证公平性和均衡性。\[1\]这种仲裁器的设计思想是每个模块依次产生请求,然后仲裁器按照轮询的方式依次授予许可。\[3\]这样可以避免某个模块连续占用资源而导致其他模块无法获得许可的情况。\[3\]轮询仲裁器的优点是简单易实现,但也存在一些问题,比如公平性的考虑。\[2\]在某些情况下,如果按照固定的顺序授予许可,可能会导致某些模块的机会较少,从而不公平。因此,在设计中需要考虑如何解决这个公平性问题。 #### 引用[.reference_title] - *1* [round robin arbiter 轮询仲裁器设计](https://blog.csdn.net/qq_57502075/article/details/129764974)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^control_2,239^v3^insert_chatgpt"}} ] [.reference_item] - *2* *3* [仲裁器设计(二)-- Round Robin Arbiter 轮询调度算法](https://blog.csdn.net/m0_49540263/article/details/114967443)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^control_2,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值